├── .gitattributes ├── .github ├── test.sh └── workflows │ ├── deploy_docs.yml │ └── test.yml ├── .gitignore ├── AStatement.cc ├── AStatement.h ├── Attrib.cc ├── Attrib.h ├── COPYING ├── Documentation ├── .gitignore ├── Makefile ├── conf.py ├── developer │ ├── getting_started.rst │ ├── glossary.rst │ ├── guide │ │ ├── cadpli │ │ │ └── cadpli.rst │ │ ├── index.rst │ │ ├── ivl │ │ │ ├── attributes.rst │ │ │ ├── index.rst │ │ │ ├── ivl_target.rst │ │ │ ├── lpm.rst │ │ │ ├── netlist.rst │ │ │ └── t-dll.rst │ │ ├── misc │ │ │ ├── ieee1364-notes.rst │ │ │ ├── index.rst │ │ │ ├── swift.rst │ │ │ └── xilinx-hint.rst │ │ ├── tgt-vvp │ │ │ └── tgt-vvp.rst │ │ ├── vpi │ │ │ ├── index.rst │ │ │ ├── va_math.rst │ │ │ └── vpi.rst │ │ └── vvp │ │ │ ├── debug.rst │ │ │ ├── index.rst │ │ │ ├── opcodes.rst │ │ │ ├── vpi.rst │ │ │ ├── vthread.rst │ │ │ └── vvp.rst │ ├── index.rst │ ├── regression_tests.rst │ └── version_stamps.rst ├── favicon.ico ├── index.rst ├── make.bat ├── targets │ ├── index.rst │ ├── tgt-blif.rst │ ├── tgt-fpga.rst │ ├── tgt-null.rst │ ├── tgt-pal.rst │ ├── tgt-pcb.rst │ ├── tgt-sizer.rst │ ├── tgt-stub.rst │ ├── tgt-verilog.rst │ ├── tgt-vhdl.rst │ ├── tgt-vlog95.rst │ └── tgt-vvp.rst └── usage │ ├── GTKWave_Example2.png │ ├── command_files.rst │ ├── command_line_flags.rst │ ├── getting_started.rst │ ├── gtkwave.rst │ ├── icarus_verilog_extensions.rst │ ├── icarus_verilog_quirks.rst │ ├── index.rst │ ├── installation.rst │ ├── ivlpp_flags.rst │ ├── reporting_issues.rst │ ├── simulation.rst │ ├── verilog_attributes.rst │ ├── vhdlpp_flags.rst │ ├── vpi.rst │ ├── vvp_debug.rst │ ├── vvp_flags.rst │ └── vvp_library.rst ├── HName.cc ├── HName.h ├── INSTALL ├── Makefile.in ├── Module.cc ├── Module.h ├── PClass.cc ├── PClass.h ├── PDelays.cc ├── PDelays.h ├── PEvent.cc ├── PEvent.h ├── PExpr.cc ├── PExpr.h ├── PFunction.cc ├── PGate.cc ├── PGate.h ├── PGenerate.cc ├── PGenerate.h ├── PModport.cc ├── PModport.h ├── PNamedItem.cc ├── PNamedItem.h ├── PPackage.cc ├── PPackage.h ├── PScope.cc ├── PScope.h ├── PSpec.cc ├── PSpec.h ├── PTask.cc ├── PTask.h ├── PTimingCheck.cc ├── PTimingCheck.h ├── PUdp.cc ├── PUdp.h ├── PWire.cc ├── PWire.h ├── README.md ├── Statement.cc ├── Statement.h ├── _pli_types.h.in ├── acc_user.h ├── aclocal.m4 ├── async.cc ├── autoconf.sh ├── cadpli ├── Makefile.in ├── cadpli.c └── ivl_dlfcn.h ├── check.conf ├── compiler.h ├── config.guess ├── config.h.in ├── config.sub ├── configure.ac ├── constants.vams ├── cppcheck.sup ├── cprop.cc ├── design_dump.cc ├── discipline.cc ├── discipline.h ├── disciplines.vams ├── dosify.c ├── driver-vpi ├── Makefile.in ├── config.h.in ├── main.c └── res.rc.in ├── driver ├── Makefile.in ├── cflexor.lex ├── cfparse.y ├── cfparse_misc.h ├── globals.h ├── iverilog.man.in ├── main.c └── substit.c ├── dup_expr.cc ├── elab_expr.cc ├── elab_lval.cc ├── elab_net.cc ├── elab_scope.cc ├── elab_sig.cc ├── elab_sig_analog.cc ├── elab_type.cc ├── elaborate.cc ├── elaborate_analog.cc ├── emit.cc ├── eval_attrib.cc ├── eval_tree.cc ├── examples ├── clbff.v ├── des.v ├── hello.vl ├── hello_vpi.c ├── hello_vpi.vl ├── outff.v ├── pal_reg.v ├── show_vcd.vl ├── sqrt-virtex.v ├── sqrt.vl ├── xnf_add.vl └── xram16x1.v ├── exposenodes.cc ├── expr_synth.cc ├── functor.cc ├── functor.h ├── install-sh ├── iverilog-vpi.man.in ├── iverilog-vpi.sh ├── ivl.def ├── ivl_alloc.h ├── ivl_assert.h ├── ivl_target.h ├── ivl_target_priv.h ├── ivlpp ├── Makefile.in ├── globals.h ├── lexor.lex └── main.c ├── ivtest ├── .gitattributes ├── .gitignore ├── COPYING ├── README.txt ├── blif.list ├── blif │ ├── blif01a.v │ ├── blif01a_tb.v │ ├── blif01b.v │ ├── blif01b_tb.v │ ├── blif01c.v │ ├── blif01c_tb.v │ ├── blif01d.v │ ├── blif01d_tb.v │ ├── blif01e.v │ ├── blif01e_tb.v │ ├── blif01f.v │ ├── blif01f_tb.v │ ├── blif01g.v │ ├── blif01g_tb.v │ ├── blif01h.v │ ├── blif01h_tb.v │ ├── blif01i.v │ ├── blif01i_tb.v │ ├── blif02a.v │ ├── blif02a_tb.v │ ├── blif02b.v │ ├── blif02b_tb.v │ ├── blif02c.v │ ├── blif02c_tb.v │ ├── blif02d.v │ ├── blif02d_tb.v │ ├── blif02e.v │ ├── blif02e_tb.v │ ├── blif02f.v │ ├── blif02f_tb.v │ ├── blif02g.v │ ├── blif02g_tb.v │ ├── blif02h.v │ ├── blif02h_tb.v │ ├── blif02i.v │ ├── blif02i_tb.v │ ├── blif02j.v │ ├── blif02j_tb.v │ ├── blif02k.v │ ├── blif02k_tb.v │ ├── blif_shift.v │ ├── blif_shift_tb.v │ ├── blif_sign_ext.v │ └── blif_sign_ext_tb.v ├── blif_reg.py ├── contrib │ ├── TEST9.ROM │ ├── add32.v │ ├── div16.v │ ├── fifo.v │ ├── gencrc.v │ ├── mult16.v │ ├── onehot.v │ └── pic.v ├── find_valg_all ├── find_valg_errs ├── fpga_tests │ ├── .cvsignore │ ├── bufifab.v │ ├── bufifab_tb.v │ ├── cell_ld.v │ ├── cell_ld_tb.v │ ├── eqne.v │ ├── eqne_tb.v │ ├── fpga_reg.list │ ├── fpga_reg.sh │ ├── ge2.gold │ ├── ge2.v │ ├── ge2_tb.v │ ├── ge8.v │ ├── ge8_tb.v │ ├── onehot16.v │ ├── onehot16_tb.v │ ├── ornor4.v │ ├── ornor4_tb.v │ ├── ornor7.v │ ├── ornor7_tb.v │ ├── ornor8.v │ ├── ornor8_tb.v │ ├── sqrt.v │ ├── sqrt_tb.v │ ├── sub8.v │ ├── sub8_tb.v │ ├── timer.v │ └── timer_tb.v ├── gold │ ├── always_comb_no_sens.gold │ ├── always_comb_warn.gold │ ├── always_ff_warn.gold │ ├── always_ff_warn_sens.gold │ ├── always_latch_warn.gold │ ├── always_star_array_lval.gold │ ├── array_dump.vcd.gold │ ├── array_packed_2d.gold │ ├── array_word_check.gold │ ├── array_word_width.gold │ ├── automatic_error11-vvp-stdout.gold │ ├── automatic_error12-vvp-stdout.gold │ ├── automatic_error13-vvp-stdout.gold │ ├── automatic_error13.gold │ ├── automatic_events.gold │ ├── automatic_events3.gold │ ├── automatic_task.gold │ ├── automatic_task2.gold │ ├── automatic_task3.gold │ ├── bitsel.gold │ ├── bitsel_real_idx.gold │ ├── br1003a.gold │ ├── br1003b-vlog95.gold │ ├── br1003b.gold │ ├── br1003c-vlog95.gold │ ├── br1003c.gold │ ├── br1003d-vlog95.gold │ ├── br1003d.gold │ ├── br1005.gold │ ├── br1007-vlog95.gold │ ├── br1007.gold │ ├── br1008.gold │ ├── br1027a-fsv.gold │ ├── br1027a.gold │ ├── br1027b.gold │ ├── br1027c-fsv.gold │ ├── br1027c.gold │ ├── br1027d.gold │ ├── br1027e-fsv.gold │ ├── br1027e.gold │ ├── br1027f.gold │ ├── br1029a.gold │ ├── br1029c.gold │ ├── br916a-vlog95.gold │ ├── br916a.gold │ ├── br916b-vlog95.gold │ ├── br916b.gold │ ├── br921.gold │ ├── br947.gold │ ├── br960a.gold │ ├── br960b.gold │ ├── br960c.gold │ ├── br960d.gold │ ├── br975.gold │ ├── br991b.gold │ ├── br_gh105a.gold │ ├── br_gh105b.gold │ ├── br_gh1087a1-iverilog-stderr.gold │ ├── br_gh1087a2-iverilog-stderr.gold │ ├── br_gh1087a3-iverilog-stderr.gold │ ├── br_gh1087b-iverilog-stderr.gold │ ├── br_gh1087c-iverilog-stderr.gold │ ├── br_gh1117.gold │ ├── br_gh1143e-iverilog-stderr.gold │ ├── br_gh1143f-iverilog-stderr.gold │ ├── br_gh1143g-iverilog-stderr.gold │ ├── br_gh1143h-iverilog-stderr.gold │ ├── br_gh1175a.gold │ ├── br_gh1175b.gold │ ├── br_gh1175c.gold │ ├── br_gh1175d.gold │ ├── br_gh1175e.gold │ ├── br_gh1175f.gold │ ├── br_gh1178a.gold │ ├── br_gh1180a-iverilog-stderr.gold │ ├── br_gh1180b-iverilog-stderr.gold │ ├── br_gh1182.gold │ ├── br_gh1184-vvp-stderr.gold │ ├── br_gh1184-vvp-stdout.gold │ ├── br_gh1222.gold │ ├── br_gh1225a.gold │ ├── br_gh1225b.gold │ ├── br_gh1225c.gold │ ├── br_gh1242-vvp-stdout.gold │ ├── br_gh1258a-iverilog-stderr.gold │ ├── br_gh1258b-iverilog-stderr.gold │ ├── br_gh127a.gold │ ├── br_gh127b.gold │ ├── br_gh127c.gold │ ├── br_gh127d.gold │ ├── br_gh127e.gold │ ├── br_gh127f.gold │ ├── br_gh13a-iverilog-stderr.gold │ ├── br_gh13a-vlog95-iverilog-stderr.gold │ ├── br_gh13a-vlog95-vvp-stdout.gold │ ├── br_gh13a-vvp-stdout.gold │ ├── br_gh156.vcd.gold │ ├── br_gh157.gold │ ├── br_gh165.gold │ ├── br_gh198.gold │ ├── br_gh209.dat │ ├── br_gh230-vvp-stdout.gold │ ├── br_gh265.gold │ ├── br_gh289d.gold │ ├── br_gh33.gold │ ├── br_gh365.gold │ ├── br_gh366.gold │ ├── br_gh368.gold │ ├── br_gh374.gold │ ├── br_gh377-vlog95.gold │ ├── br_gh377.gold │ ├── br_gh383a-vvp-stdout.gold │ ├── br_gh383b-vvp-stdout.gold │ ├── br_gh383c-vvp-stdout.gold │ ├── br_gh383d-vvp-stdout.gold │ ├── br_gh388.gold │ ├── br_gh390b.gold │ ├── br_gh391.gold │ ├── br_gh433.gold │ ├── br_gh436.gold │ ├── br_gh440-iverilog-stderr.gold │ ├── br_gh451.gold │ ├── br_gh497b.gold │ ├── br_gh497d.gold │ ├── br_gh497f.gold │ ├── br_gh531.gold │ ├── br_gh552-iverilog-stderr.gold │ ├── br_gh567.gold │ ├── br_gh62.gold │ ├── br_gh687-vvp-stdout.gold │ ├── br_gh710a-vvp-stdout.gold │ ├── br_gh710b-vvp-stdout.gold │ ├── br_gh710c-vvp-stdout.gold │ ├── br_gh72a.gold │ ├── br_gh72b.gold │ ├── br_gh72b_fail.gold │ ├── br_gh732.gold │ ├── br_gh782a.gold │ ├── br_gh782b.gold │ ├── br_gh788.gold │ ├── br_gh79.gold │ ├── br_gh827.gold │ ├── br_gh889-vlog95.gold │ ├── br_gh889.gold │ ├── br_gh939-iverilog-stderr.gold │ ├── br_ml20190814.gold │ ├── br_ml_20150315.gold │ ├── busbug.gold │ ├── ca_64delay.gold │ ├── ca_mult.gold │ ├── ca_time.gold │ ├── ca_time_real-vvp-stdout.gold │ ├── ca_time_smtm.gold │ ├── case_priority-vlog95.gold │ ├── case_priority.gold │ ├── case_unique-vlog95.gold │ ├── case_unique.gold │ ├── casesynth7.gold │ ├── cmos.gold │ ├── comp1000.gold │ ├── comp1001.gold │ ├── constfunccall3-iverilog-stderr.gold │ ├── dcomp1.gold │ ├── decl_before_use1-iverilog-stderr.gold │ ├── decl_before_use2-iverilog-stderr.gold │ ├── decl_before_use3-iverilog-stderr.gold │ ├── decl_before_use4-iverilog-stderr.gold │ ├── decl_before_use5-iverilog-stderr.gold │ ├── def_nettype_none.gold │ ├── defparam2.gold │ ├── defparam3.gold │ ├── defparam4.gold │ ├── delay.gold │ ├── delay_var.gold │ ├── delayed_sfunc-vvp-stdout.gold │ ├── disblock2.gold │ ├── disp_dec.gold │ ├── disp_dec2.gold │ ├── disp_leading_z.gold │ ├── disp_parm.gold │ ├── disp_part.gold │ ├── display_bug.gold │ ├── drive_strength2.gold │ ├── dummy7.gold │ ├── dump_memword.vcd │ ├── dumpfile-vvp-stdout.gold │ ├── early_sig_elab3-iverilog-stderr.gold │ ├── enum_dims_invalid.gold │ ├── enum_line_info.gold │ ├── eofmt_percent-vlog95-vvp-stdout.gold │ ├── eofmt_percent-vvp-stdout.gold │ ├── escaped_macro_name.gold │ ├── event3.gold │ ├── event_list3.gold │ ├── fatal_et_al-vlog95.gold │ ├── fatal_et_al.gold │ ├── fatal_et_al2.gold │ ├── fdisplay1.gold │ ├── fdisplay2.out │ ├── fdisplay3-vvp-stdout.gold │ ├── fdisplay_fail_fd-vlog95.gold │ ├── fdisplay_fail_fd.gold │ ├── fdisplay_fail_mcd-vlog95.gold │ ├── fdisplay_fail_mcd.gold │ ├── fileio.gold │ ├── fileline.gold │ ├── fileline2.gold │ ├── final.gold │ ├── final2.gold │ ├── format-vlog95.gold │ ├── format.gold │ ├── fread-error-vvp-stdout.gold │ ├── fscanf_u_warn-vlog95.gold │ ├── fscanf_u_warn.gold │ ├── fscanf_z_warn-vlog95.gold │ ├── fscanf_z_warn.gold │ ├── function1.gold │ ├── function12.gold │ ├── gate_connect2.gold │ ├── generate_multi_loop.gold │ ├── idiv3.gold │ ├── indef_width_concat.gold │ ├── initmod.gold │ ├── initmod2.gold │ ├── int_not_signext.gold │ ├── ipsdownsel_real_idx.gold │ ├── ipsupsel_real_idx.gold │ ├── itor_rtoi.gold │ ├── ivlh_event.gold │ ├── ivlh_rising_falling.gold │ ├── land4.gold │ ├── lh_memcat.gold │ ├── line_directive-vvp-stdout.gold │ ├── long_div.gold │ ├── macro_redefinition.gold │ ├── macro_replacement.gold │ ├── macro_str_esc-vvp-stdout.gold │ ├── macro_with_args.gold │ ├── mcl1.gold │ ├── mcl2.gold │ ├── mem1-vlog95.gold │ ├── mem1.gold │ ├── monitor.gold │ ├── monitor2.gold │ ├── monitor3.gold │ ├── multi_bit_strength.gold │ ├── multi_bit_strength_std.gold │ ├── negvalue.gold │ ├── neq1.gold │ ├── nested_func.gold │ ├── nested_func_std.gold │ ├── nested_impl_event1.gold │ ├── nested_impl_event2.gold │ ├── packed_dims_invalid_class.gold │ ├── packed_dims_invalid_module.gold │ ├── param-width-vlog95-vvp-stdout.gold │ ├── param-width-vvp-stdout.gold │ ├── param_test1.gold │ ├── param_test2.gold │ ├── param_test3-iverilog-stderr.gold │ ├── param_test3-vvp-stdout.gold │ ├── parameter_type-vlog95-vvp-stdout.gold │ ├── parameter_type-vvp-stdout.gold │ ├── partsel_real_idx.gold │ ├── pic-vlog95.gold │ ├── pic.gold │ ├── pr1002.gold │ ├── pr1002_std.gold │ ├── pr1002a.gold │ ├── pr1002a_std.gold │ ├── pr1008.gold │ ├── pr1026.gold │ ├── pr1033.gold │ ├── pr1065.gold │ ├── pr1077.gold │ ├── pr1403406.gold │ ├── pr1403406a.gold │ ├── pr1403406b.gold │ ├── pr1476440.gold │ ├── pr1492075.gold │ ├── pr1494799.gold │ ├── pr1574175.gold │ ├── pr1587669.gold │ ├── pr1589497.gold │ ├── pr1623097.gold │ ├── pr1628288.gold │ ├── pr1628300.gold │ ├── pr1629683.gold │ ├── pr1632861.gold │ ├── pr1634526.gold │ ├── pr1636409.gold │ ├── pr1638985.gold │ ├── pr1639060.gold │ ├── pr1639064.gold │ ├── pr1639064b.gold │ ├── pr1639968.gold │ ├── pr1639971.gold │ ├── pr1645277.gold │ ├── pr1645518.gold │ ├── pr1648365.gold │ ├── pr1661640.gold │ ├── pr1661640_std.gold │ ├── pr1664684.gold │ ├── pr1687193.gold │ ├── pr1688717.gold │ ├── pr1698499.gold │ ├── pr1698658.gold │ ├── pr1698659.gold │ ├── pr1698820-vlog95.gold │ ├── pr1698820.gold │ ├── pr1699444.gold │ ├── pr1699519.gold │ ├── pr1701855.gold │ ├── pr1701855b.gold │ ├── pr1701889.gold │ ├── pr1701890-vvp-stdout.gold │ ├── pr1702593.gold │ ├── pr1703120.gold │ ├── pr1704726a.gold │ ├── pr1704726c.gold │ ├── pr1704726d.gold │ ├── pr1719055.gold │ ├── pr1723367.gold │ ├── pr1735836.gold │ ├── pr1741212.gold │ ├── pr1746848.gold │ ├── pr1752823a.gold │ ├── pr1752823b.gold │ ├── pr1758122.gold │ ├── pr1758135.gold │ ├── pr1770199.gold │ ├── pr1771903.gold │ ├── pr1780480.gold │ ├── pr1787394a.gold │ ├── pr1787423.gold │ ├── pr1792108.gold │ ├── pr1792152.gold │ ├── pr1792734.gold │ ├── pr1793157.gold │ ├── pr1793749.gold │ ├── pr1793749b.gold │ ├── pr1795005a.gold │ ├── pr1795005b.gold │ ├── pr1799904.gold │ ├── pr1804877.gold │ ├── pr1805837.gold │ ├── pr1819452-vlog95.gold │ ├── pr1819452.gold │ ├── pr1820472.gold │ ├── pr1823732.gold │ ├── pr1828642.gold │ ├── pr1830834.gold │ ├── pr1831724.gold │ ├── pr1833024.gold │ ├── pr1841300.gold │ ├── pr1845683.gold │ ├── pr1851310.gold │ ├── pr1855504.gold │ ├── pr1861212.gold │ ├── pr1862744b.gold │ ├── pr1864110a-vvp-stdout.gold │ ├── pr1864110b-vvp-stdout.gold │ ├── pr1864110c.gold │ ├── pr1864115-vvp-stdout.gold │ ├── pr1866215.gold │ ├── pr1866215b.gold │ ├── pr1867161a.gold │ ├── pr1867161b.gold │ ├── pr1873372.gold │ ├── pr1876798.gold │ ├── pr1885847.gold │ ├── pr1887168.gold │ ├── pr1898983.gold │ ├── pr1903343.gold │ ├── pr1912112.gold │ ├── pr1936363.gold │ ├── pr1949025.gold │ ├── pr1960545.gold │ ├── pr1960548.gold │ ├── pr1960558.gold │ ├── pr1960575.gold │ ├── pr1960596.gold │ ├── pr1960619.gold │ ├── pr1963240.gold │ ├── pr1963962.gold │ ├── pr1985582.gold │ ├── pr1985582_std.gold │ ├── pr1993479.gold │ ├── pr2001162.gold │ ├── pr2001162_std.gold │ ├── pr2029336.gold │ ├── pr2039694.gold │ ├── pr2043585.gold │ ├── pr2043585_std.gold │ ├── pr2053944.gold │ ├── pr2076391.gold │ ├── pr2091455.gold │ ├── pr2119622.gold │ ├── pr2132552.gold │ ├── pr2136787.gold │ ├── pr2138682.gold │ ├── pr2138979b.gold │ ├── pr2138979c.gold │ ├── pr2138979d.gold │ ├── pr2146620.gold │ ├── pr2146620b.gold │ ├── pr2146824.gold │ ├── pr2152011.gold │ ├── pr2159630.gold │ ├── pr2169870.gold │ ├── pr2248925.gold │ ├── pr2251119.gold │ ├── pr2251119_std.gold │ ├── pr2272468.gold │ ├── pr243.gold │ ├── pr243_std.gold │ ├── pr245.gold │ ├── pr2486350.gold │ ├── pr2509349a-vlog95-vvp-stdout.gold │ ├── pr2509349a-vvp-stdout.gold │ ├── pr2509349b-vlog95-vvp-stdout.gold │ ├── pr2509349b-vvp-stdout.gold │ ├── pr2580730.gold │ ├── pr2590274-fsv.gold │ ├── pr2590274.gold │ ├── pr2715558.gold │ ├── pr2715558b.gold │ ├── pr2715748.gold │ ├── pr2785294.gold │ ├── pr2794144.gold │ ├── pr2800985b-vvp-stdout.gold │ ├── pr2809288.gold │ ├── pr2815398a.gold │ ├── pr2815398b.gold │ ├── pr2823414.gold │ ├── pr2842621.gold │ ├── pr2848986.gold │ ├── pr2859628.vcd.gold │ ├── pr2877564.gold │ ├── pr2924354.gold │ ├── pr2972866.gold │ ├── pr2976242c.gold │ ├── pr298.gold │ ├── pr3015421-fsv.gold │ ├── pr3015421.gold │ ├── pr3039548.gold │ ├── pr3054101a.gold │ ├── pr3054101c.gold │ ├── pr3054101e.gold │ ├── pr3054101g.gold │ ├── pr3064375.gold │ ├── pr3149494.gold │ ├── pr3190941.gold │ ├── pr3194155.gold │ ├── pr3194155_std.gold │ ├── pr3366217a.gold │ ├── pr3366217b.gold │ ├── pr3366217c.gold │ ├── pr3366217d.gold │ ├── pr3366217f.gold │ ├── pr3366217g.gold │ ├── pr3441576.gold │ ├── pr3499807.gold │ ├── pr3515542.gold │ ├── pr3522653.gold │ ├── pr3527694.gold │ ├── pr3571573.gold │ ├── pr377.gold │ ├── pr434.gold │ ├── pr487.gold │ ├── pr492.gold │ ├── pr498b.gold │ ├── pr522.gold │ ├── pr524.gold │ ├── pr527.gold │ ├── pr528.gold │ ├── pr528b.gold │ ├── pr530.gold │ ├── pr531a.gold │ ├── pr532.gold │ ├── pr533.gold │ ├── pr534.gold │ ├── pr538.gold │ ├── pr540.gold │ ├── pr540b.gold │ ├── pr540c.gold │ ├── pr541.gold │ ├── pr542.gold │ ├── pr544.gold │ ├── pr547.gold │ ├── pr556.gold │ ├── pr569.gold │ ├── pr572.gold │ ├── pr584.gold │ ├── pr584_std.gold │ ├── pr590.gold │ ├── pr594.gold │ ├── pr596.gold │ ├── pr622.gold │ ├── pr632.gold │ ├── pr639.gold │ ├── pr673.gold │ ├── pr693.gold │ ├── pr729.gold │ ├── pr751.gold │ ├── pr751_std.gold │ ├── pr812.gold │ ├── pr820.gold │ ├── pr902.gold │ ├── pr905.gold │ ├── pr910-vlog95.gold │ ├── pr910.gold │ ├── pr923.gold │ ├── pr938.gold │ ├── pr979.gold │ ├── pr985.gold │ ├── pr987.gold │ ├── pr987_std.gold │ ├── pr991.gold │ ├── pr993.gold │ ├── pr995.gold │ ├── queue_fail-vvp-stdout.gold │ ├── queue_stat.gold │ ├── random.gold │ ├── readmem-error-vlog95.gold │ ├── readmem-error.gold │ ├── readmem-invalid-vvp-stdout.gold │ ├── real5.gold │ ├── real_concat_invalid1.gold │ ├── real_concat_invalid2.gold │ ├── real_delay.gold │ ├── real_edges.gold │ ├── real_events.gold │ ├── real_invalid_ops.gold │ ├── real_select_invalid.gold │ ├── recursive_func.gold │ ├── recursive_func_const.gold │ ├── recursive_task.gold │ ├── resetall-fsv.gold │ ├── resetall.gold │ ├── resetall2.gold │ ├── resetall2_std.gold │ ├── rtran.gold │ ├── rtranif0.gold │ ├── rtranif1.gold │ ├── scan-invalid-vvp-stdout.gold │ ├── scoped_events.gold │ ├── sdf1.gold │ ├── sdf5.gold │ ├── sdf6.gold │ ├── sdf7.gold │ ├── sdf8.gold │ ├── sdf_header-vvp-stdout.gold │ ├── sdf_interconnect1-vvp-stdout.gold │ ├── sdf_interconnect2-vvp-stdout.gold │ ├── sdf_interconnect3-vvp-stdout.gold │ ├── sdf_interconnect4-vvp-stdout.gold │ ├── sel_rval_bit_ob.gold │ ├── sel_rval_part_ob.gold │ ├── select3.gold │ ├── sf_countbits_fail-iverilog-stderr.gold │ ├── sf_countbits_fail-vvp-stdout.gold │ ├── sf_countones_fail-iverilog-stderr.gold │ ├── sf_countones_fail-vvp-stdout.gold │ ├── sf_isunknown_fail-iverilog-stderr.gold │ ├── sf_isunknown_fail-vvp-stdout.gold │ ├── sf_onehot0_fail-iverilog-stderr.gold │ ├── sf_onehot0_fail-vvp-stdout.gold │ ├── sf_onehot_fail-iverilog-stderr.gold │ ├── sf_onehot_fail-vvp-stdout.gold │ ├── shellho1.gold │ ├── shift1.gold │ ├── shift5.gold │ ├── signed10.gold │ ├── signed12.gold │ ├── signed4.gold │ ├── sp2.inv │ ├── specify3.gold │ ├── specify4.gold │ ├── specify5.gold │ ├── stask_parm2.gold │ ├── stime.gold │ ├── string10.gold │ ├── string11.gold │ ├── string4.gold │ ├── string5.gold │ ├── string7.gold │ ├── string8.gold │ ├── string9.gold │ ├── string_events.gold │ ├── struct_invalid_member.gold │ ├── struct_line_info.gold │ ├── sv_array_cassign7-vvp-stdout.gold │ ├── sv_default_port_value3-iverilog-stderr.gold │ ├── sv_deferred_assert1.gold │ ├── sv_deferred_assert2.gold │ ├── sv_deferred_assume1.gold │ ├── sv_deferred_assume2.gold │ ├── sv_end_label_fail.gold │ ├── sv_end_labels_bad.gold │ ├── sv_end_labels_unnamed.gold │ ├── sv_foreach8.gold │ ├── sv_foreach9-vvp-stdout.gold │ ├── sv_immediate_assert-vlog95.gold │ ├── sv_immediate_assert.gold │ ├── sv_immediate_assume-vlog95.gold │ ├── sv_immediate_assume.gold │ ├── sv_macro2.gold │ ├── sv_macro3.gold │ ├── sv_mixed_assign_error1-iverilog-stderr.gold │ ├── sv_mixed_assign_error2-iverilog-stderr.gold │ ├── sv_mixed_assign_error3-iverilog-stderr.gold │ ├── sv_mixed_assign_error4-iverilog-stderr.gold │ ├── sv_new_array_error.gold │ ├── sv_pkg_class.gold │ ├── sv_queue_parray.gold │ ├── sv_queue_parray_bounded.gold │ ├── sv_queue_parray_fail.gold │ ├── sv_queue_real.gold │ ├── sv_queue_real_bounded.gold │ ├── sv_queue_real_fail.gold │ ├── sv_queue_string.gold │ ├── sv_queue_string_bounded.gold │ ├── sv_queue_string_fail.gold │ ├── sv_queue_vec.gold │ ├── sv_queue_vec_bounded.gold │ ├── sv_queue_vec_fail.gold │ ├── sv_root_class.gold │ ├── sv_root_func.gold │ ├── sv_root_task.gold │ ├── sv_timeunit_prec3a.gold │ ├── sv_timeunit_prec3b.gold │ ├── sv_timeunit_prec3c.gold │ ├── sv_timeunit_prec3d.gold │ ├── sv_timeunit_prec4a.gold │ ├── sv_timeunit_prec4b.gold │ ├── sv_timeunit_prec_fail1.gold │ ├── sv_timeunit_prec_fail2.gold │ ├── sv_unit1b.gold │ ├── sv_unit1c.gold │ ├── sv_unit2b.gold │ ├── sv_unit3b.gold │ ├── sv_wildcard_import4.gold │ ├── sv_wildcard_import5.gold │ ├── switch_primitives.gold │ ├── swrite-vlog95.gold │ ├── swrite.gold │ ├── sys_func_as_task.gold │ ├── sys_func_task_error-fsv.gold │ ├── sys_func_task_error-vlog95.gold │ ├── sys_func_task_error.gold │ ├── tern3.gold │ ├── tern5.gold │ ├── test_disphob.gold │ ├── test_dispwided.gold │ ├── test_extended.gold │ ├── test_va_math-vvp-stdout.gold │ ├── test_va_math.gold │ ├── test_vams_math-vvp-stdout.gold │ ├── test_vams_math.gold │ ├── test_width.gold │ ├── time6c.gold │ ├── time7.gold │ ├── timeform1.gold │ ├── timeform2.gold │ ├── tran.gold │ ├── tranif0.gold │ ├── tranif1.gold │ ├── two_state_display.gold │ ├── udp_bx.gold │ ├── undef.gold │ ├── unnamed_generate_block.gold │ ├── urand.gold │ ├── urand_r.gold │ ├── uwire_fail.gold │ ├── uwire_fail2-iverilog-stderr.gold │ ├── uwire_fail3-iverilog-stderr.gold │ ├── uwire_fail4-iverilog-stderr.gold │ ├── vcd-dup.log.gold │ ├── vcd-dup.vcd.gold │ ├── vector.gold │ ├── vhdl_concurrent_assert.gold │ ├── vhdl_image_attr.gold │ ├── vhdl_lfcr.gold │ ├── vhdl_mux2.gold │ ├── vhdl_now.gold │ ├── vhdl_procedure.gold │ ├── vhdl_report.gold │ ├── vhdl_string.gold │ ├── vhdl_test3.gold │ ├── vhdl_time.gold │ ├── vhdl_wait.gold │ ├── vvp_quiet_mode-vvp-stderr.gold │ ├── vvp_quiet_mode-vvp-stdout.gold │ ├── wait3.gold │ ├── warn_opt_sys_tf-vvp-stdout.gold │ ├── wild_cmp_err.gold │ ├── wild_cmp_err2.gold │ ├── wiresl2.gold │ ├── writemem-error-vlog95.gold │ ├── writemem-error.gold │ └── writemem-invalid-vvp-stdout.gold ├── ivltests │ ├── abstime.v │ ├── addsr.v │ ├── addwide.v │ ├── always3.1.10A.v │ ├── always3.1.11A.v │ ├── always3.1.11B.v │ ├── always3.1.12A.v │ ├── always3.1.12B.v │ ├── always3.1.12C.v │ ├── always3.1.1A.v │ ├── always3.1.1B.v │ ├── always3.1.1C.v │ ├── always3.1.1D.v │ ├── always3.1.1E.v │ ├── always3.1.1F.v │ ├── always3.1.1G.v │ ├── always3.1.1H.v │ ├── always3.1.1I.v │ ├── always3.1.1J.v │ ├── always3.1.1K.v │ ├── always3.1.2A.v │ ├── always3.1.2B.v │ ├── always3.1.2C.v │ ├── always3.1.2D.v │ ├── always3.1.2E.v │ ├── always3.1.2F.v │ ├── always3.1.2G.v │ ├── always3.1.2H.v │ ├── always3.1.2I.v │ ├── always3.1.3A.v │ ├── always3.1.3B.v │ ├── always3.1.3B2.v │ ├── always3.1.3C.v │ ├── always3.1.3D.v │ ├── always3.1.3D2.v │ ├── always3.1.3E.v │ ├── always3.1.3E2.v │ ├── always3.1.3F.v │ ├── always3.1.3F2.v │ ├── always3.1.3G.v │ ├── always3.1.3H.v │ ├── always3.1.3J.v │ ├── always3.1.4A.v │ ├── always3.1.4B.v │ ├── always3.1.4C.v │ ├── always3.1.4D.v │ ├── always3.1.4E.v │ ├── always3.1.4F.v │ ├── always3.1.4G.v │ ├── always3.1.4H.v │ ├── always3.1.4I.v │ ├── always3.1.5A.v │ ├── always3.1.5B.v │ ├── always3.1.5C.v │ ├── always3.1.5D.v │ ├── always3.1.5E.v │ ├── always3.1.5F.v │ ├── always3.1.6A.v │ ├── always3.1.6B.v │ ├── always3.1.6C.v │ ├── always3.1.6D.v │ ├── always3.1.7A.v │ ├── always3.1.7B.v │ ├── always3.1.7C.v │ ├── always3.1.7D.v │ ├── always3.1.8A.v │ ├── always3.1.9A.v │ ├── always3.1.9B.v │ ├── always3.1.9C.v │ ├── always3.1.9D.v │ ├── always4A.v │ ├── always4B.v │ ├── always_comb.v │ ├── always_comb_fail.v │ ├── always_comb_fail3.v │ ├── always_comb_fail4.v │ ├── always_comb_no_sens.v │ ├── always_comb_rfunc.v │ ├── always_comb_trig.v │ ├── always_comb_void_func.v │ ├── always_comb_warn.v │ ├── always_ff.v │ ├── always_ff_fail.v │ ├── always_ff_fail2.v │ ├── always_ff_fail3.v │ ├── always_ff_fail4.v │ ├── always_ff_no_sens.v │ ├── always_ff_warn.v │ ├── always_ff_warn_sens.v │ ├── always_latch.v │ ├── always_latch_fail.v │ ├── always_latch_fail3.v │ ├── always_latch_fail4.v │ ├── always_latch_no_sens.v │ ├── always_latch_trig.v │ ├── always_latch_warn.v │ ├── always_star_array_lval.v │ ├── analog1.v │ ├── analog2.v │ ├── andnot1.v │ ├── arith-unknown.v │ ├── array4.v │ ├── array5.v │ ├── array6.v │ ├── array7.v │ ├── array_dump.v │ ├── array_lval_select1.v │ ├── array_lval_select2.v │ ├── array_lval_select3a.v │ ├── array_lval_select3b.v │ ├── array_lval_select3c.v │ ├── array_lval_select4a.v │ ├── array_lval_select4b.v │ ├── array_lval_select5.v │ ├── array_lval_select6.v │ ├── array_packed.v │ ├── array_packed_2d.v │ ├── array_packed_sysfunct.v │ ├── array_packed_value_list.v │ ├── array_packed_write_read.v │ ├── array_select.v │ ├── array_select_a.v │ ├── array_size.v │ ├── array_slice_concat.v │ ├── array_string.v │ ├── array_unpacked_sysfunct.v │ ├── array_word_check.v │ ├── array_word_width.v │ ├── array_word_width2.v │ ├── assign3.2A.v │ ├── assign3.2B.v │ ├── assign3.2C.v │ ├── assign3.2D.v │ ├── assign3.2E.v │ ├── assign_add.v │ ├── assign_deassign_pv.v │ ├── assign_delay.v │ ├── assign_deq.v │ ├── assign_ge.v │ ├── assign_le.v │ ├── assign_mem1.v │ ├── assign_mem2.v │ ├── assign_nb1.v │ ├── assign_nb2.v │ ├── assign_neq.v │ ├── assign_op_after_cmp1.v │ ├── assign_op_after_cmp2.v │ ├── assign_op_after_cmp3.v │ ├── assign_op_concat.v │ ├── assign_op_oob.v │ ├── assign_op_real_array.v │ ├── assign_op_real_array_oob.v │ ├── assign_op_type.v │ ├── attrib.v │ ├── attrib01_module.v │ ├── attrib02_port_decl.v │ ├── attrib03_parameter.v │ ├── attrib04_net_var.v │ ├── attrib05_port_conn.v │ ├── attrib06_operator_suffix.v │ ├── attrib07_func_call.v │ ├── attrib08_mod_inst.v │ ├── attrib09_case.v │ ├── attrib_expr.v │ ├── automatic_error1.v │ ├── automatic_error10.v │ ├── automatic_error11.v │ ├── automatic_error12.v │ ├── automatic_error13.v │ ├── automatic_error14.v │ ├── automatic_error15.v │ ├── automatic_error16.v │ ├── automatic_error17.v │ ├── automatic_error18.v │ ├── automatic_error2.v │ ├── automatic_error3.v │ ├── automatic_error4.v │ ├── automatic_error5.v │ ├── automatic_error6.v │ ├── automatic_error7.v │ ├── automatic_error8.v │ ├── automatic_error9.v │ ├── automatic_events.v │ ├── automatic_events2.v │ ├── automatic_events3.v │ ├── automatic_task.v │ ├── automatic_task2.v │ ├── automatic_task3.v │ ├── basicexpr.v │ ├── basicexpr2.v │ ├── basicexpr3.v │ ├── basicexpr4.v │ ├── basiclatch.v │ ├── basicreg.v │ ├── basicstate.v │ ├── basicstate2.v │ ├── big_int.v │ ├── binary_nand.v │ ├── binary_nor.v │ ├── bitp1.v │ ├── bits.v │ ├── bits2.v │ ├── bits3.v │ ├── bits4.v │ ├── bitsel.v │ ├── bitsel10.v │ ├── bitsel11.v │ ├── bitsel2.v │ ├── bitsel3.v │ ├── bitsel4.v │ ├── bitsel5.v │ ├── bitsel6.v │ ├── bitsel7.v │ ├── bitsel8.v │ ├── bitsel9.v │ ├── bitsel_real_idx.v │ ├── bitwidth.v │ ├── bitwidth2.v │ ├── bitwidth3.v │ ├── blankport.v │ ├── block_only_with_var_def.v │ ├── blocking_repeat_ec.v │ ├── blocksynth1.v │ ├── blocksynth2.v │ ├── blocksynth3.v │ ├── bnot.v │ ├── bool1.v │ ├── br1000.v │ ├── br1001.v │ ├── br1003a.v │ ├── br1003b.v │ ├── br1003c.v │ ├── br1003d.v │ ├── br1004.v │ ├── br1005.v │ ├── br1006.v │ ├── br1007.v │ ├── br1008.v │ ├── br1015a.v │ ├── br1015b.v │ ├── br1019.v │ ├── br1025.v │ ├── br1027.v │ ├── br1027a.v │ ├── br1027b.v │ ├── br1027c.v │ ├── br1027d.v │ ├── br1027e.v │ ├── br1027f.v │ ├── br1029a.v │ ├── br1029b.v │ ├── br1029c.v │ ├── br605a.v │ ├── br605b.v │ ├── br884.v │ ├── br916a.v │ ├── br916b.v │ ├── br917a.v │ ├── br917b.v │ ├── br917c.v │ ├── br917d.v │ ├── br918a.v │ ├── br918b.v │ ├── br918c.v │ ├── br918d.v │ ├── br919.v │ ├── br921.v │ ├── br924.v │ ├── br930.v │ ├── br931.v │ ├── br932a.v │ ├── br932b.v │ ├── br935.v │ ├── br936.v │ ├── br937.v │ ├── br942.v │ ├── br942.vhd │ ├── br943_944.v │ ├── br943_944.vhd │ ├── br946.v │ ├── br947.v │ ├── br948.v │ ├── br955.v │ ├── br956.v │ ├── br959.v │ ├── br960a.sdf │ ├── br960a.v │ ├── br960b.sdf │ ├── br960b.v │ ├── br960c.sdf │ ├── br960c.v │ ├── br960d.sdf │ ├── br960d.v │ ├── br961.v │ ├── br961a.v │ ├── br962.v │ ├── br963.v │ ├── br965.v │ ├── br967.v │ ├── br968.v │ ├── br971.v │ ├── br972.v │ ├── br973.v │ ├── br974a.v │ ├── br974b.v │ ├── br974c.v │ ├── br975.v │ ├── br977.v │ ├── br978.v │ ├── br979.v │ ├── br982.v │ ├── br982a.v │ ├── br982b.v │ ├── br985.v │ ├── br985.vhd │ ├── br986.v │ ├── br986.vhd │ ├── br987.v │ ├── br987.vhd │ ├── br988.v │ ├── br990.v │ ├── br991a.v │ ├── br991b.v │ ├── br993a.v │ ├── br993b.v │ ├── br994.v │ ├── br995.v │ ├── br999.v │ ├── br_gh1001.v │ ├── br_gh1018.v │ ├── br_gh1029.v │ ├── br_gh103.v │ ├── br_gh104a.v │ ├── br_gh104b.v │ ├── br_gh105a.v │ ├── br_gh105b.v │ ├── br_gh1074a.v │ ├── br_gh1074b.v │ ├── br_gh1087a.v │ ├── br_gh1087b.v │ ├── br_gh1087c.v │ ├── br_gh1097.v │ ├── br_gh1099a.v │ ├── br_gh1099b.v │ ├── br_gh1099c.v │ ├── br_gh11.v │ ├── br_gh1104_src1.v │ ├── br_gh1104_src2.v │ ├── br_gh1117.v │ ├── br_gh1122.v │ ├── br_gh112a.v │ ├── br_gh112b.v │ ├── br_gh112c.v │ ├── br_gh112d.v │ ├── br_gh112e.v │ ├── br_gh112f.v │ ├── br_gh1143a.v │ ├── br_gh1143b.v │ ├── br_gh1143c.v │ ├── br_gh1143d.v │ ├── br_gh115.v │ ├── br_gh1155.v │ ├── br_gh1163.v │ ├── br_gh1175a.v │ ├── br_gh1175b.v │ ├── br_gh1175c.v │ ├── br_gh1175d.v │ ├── br_gh1175e.v │ ├── br_gh1175f.v │ ├── br_gh1178a.v │ ├── br_gh1178b.v │ ├── br_gh1178c.v │ ├── br_gh1180a.v │ ├── br_gh1180b.v │ ├── br_gh1181.v │ ├── br_gh1182.v │ ├── br_gh1184.v │ ├── br_gh12.v │ ├── br_gh1222.v │ ├── br_gh1223a.v │ ├── br_gh1223b.v │ ├── br_gh1223c.v │ ├── br_gh1225a.v │ ├── br_gh1225b.v │ ├── br_gh1225c.v │ ├── br_gh1230.v │ ├── br_gh1237.v │ ├── br_gh1242.v │ ├── br_gh1256a.v │ ├── br_gh1256b.v │ ├── br_gh1258.v │ ├── br_gh127a.v │ ├── br_gh127b.v │ ├── br_gh127c.v │ ├── br_gh127d.v │ ├── br_gh127e.v │ ├── br_gh127f.v │ ├── br_gh129.v │ ├── br_gh13.v │ ├── br_gh130a.v │ ├── br_gh130b.v │ ├── br_gh13a.v │ ├── br_gh14.v │ ├── br_gh142.v │ ├── br_gh15.v │ ├── br_gh152.v │ ├── br_gh156.v │ ├── br_gh157.v │ ├── br_gh162.v │ ├── br_gh163.v │ ├── br_gh164a.v │ ├── br_gh164b.v │ ├── br_gh164c.v │ ├── br_gh164d.v │ ├── br_gh164e.v │ ├── br_gh165.v │ ├── br_gh167a.v │ ├── br_gh167b.v │ ├── br_gh175.v │ ├── br_gh177a.v │ ├── br_gh177b.v │ ├── br_gh18.v │ ├── br_gh19.v │ ├── br_gh191_break.v │ ├── br_gh191_continue.v │ ├── br_gh194.v │ ├── br_gh198.v │ ├── br_gh199a.v │ ├── br_gh199b.v │ ├── br_gh19a.v │ ├── br_gh19b.v │ ├── br_gh209.v │ ├── br_gh219.v │ ├── br_gh22.v │ ├── br_gh220.v │ ├── br_gh224.v │ ├── br_gh226.v │ ├── br_gh230.v │ ├── br_gh231.v │ ├── br_gh243.v │ ├── br_gh244a.v │ ├── br_gh244b.v │ ├── br_gh25a.v │ ├── br_gh25b.v │ ├── br_gh26.v │ ├── br_gh265.v │ ├── br_gh277a.v │ ├── br_gh277b.v │ ├── br_gh28.v │ ├── br_gh280.v │ ├── br_gh281.v │ ├── br_gh281b.v │ ├── br_gh283a.v │ ├── br_gh283b.v │ ├── br_gh283c.v │ ├── br_gh289a.v │ ├── br_gh289b.v │ ├── br_gh289c.v │ ├── br_gh289d.v │ ├── br_gh30.v │ ├── br_gh306a.v │ ├── br_gh306b.v │ ├── br_gh307.v │ ├── br_gh309.v │ ├── br_gh315.v │ ├── br_gh316a.v │ ├── br_gh316b.v │ ├── br_gh316c.v │ ├── br_gh33.v │ ├── br_gh330.v │ ├── br_gh337.v │ ├── br_gh345.v │ ├── br_gh356a.v │ ├── br_gh356b.v │ ├── br_gh361.v │ ├── br_gh365.v │ ├── br_gh366.v │ ├── br_gh368.v │ ├── br_gh37.v │ ├── br_gh374.v │ ├── br_gh377.v │ ├── br_gh383a.v │ ├── br_gh383b.v │ ├── br_gh383c.v │ ├── br_gh383d.v │ ├── br_gh386a.v │ ├── br_gh386b.v │ ├── br_gh386c.v │ ├── br_gh386d.v │ ├── br_gh388.v │ ├── br_gh390a.v │ ├── br_gh390b.v │ ├── br_gh391.v │ ├── br_gh4.v │ ├── br_gh411.v │ ├── br_gh412.v │ ├── br_gh414.v │ ├── br_gh418.v │ ├── br_gh433.v │ ├── br_gh435.v │ ├── br_gh436.v │ ├── br_gh437.v │ ├── br_gh440.v │ ├── br_gh443.v │ ├── br_gh445.v │ ├── br_gh451.v │ ├── br_gh453.v │ ├── br_gh456.v │ ├── br_gh460.v │ ├── br_gh461.v │ ├── br_gh477.v │ ├── br_gh478.v │ ├── br_gh483a.v │ ├── br_gh483b.v │ ├── br_gh484.v │ ├── br_gh484.vh │ ├── br_gh497a.v │ ├── br_gh497b.v │ ├── br_gh497c.v │ ├── br_gh497d.v │ ├── br_gh497e.v │ ├── br_gh497f.v │ ├── br_gh498.v │ ├── br_gh4a.v │ ├── br_gh508a.v │ ├── br_gh508b.v │ ├── br_gh515.v │ ├── br_gh527.v │ ├── br_gh530.v │ ├── br_gh531.v │ ├── br_gh533.v │ ├── br_gh540.v │ ├── br_gh552.v │ ├── br_gh553.v │ ├── br_gh556.v │ ├── br_gh567.v │ ├── br_gh568.v │ ├── br_gh6.v │ ├── br_gh60a.v │ ├── br_gh62.v │ ├── br_gh621.v │ ├── br_gh632.v │ ├── br_gh632b.v │ ├── br_gh632c.v │ ├── br_gh661a.v │ ├── br_gh661b.v │ ├── br_gh672.v │ ├── br_gh674.v │ ├── br_gh687.v │ ├── br_gh699.v │ ├── br_gh7.v │ ├── br_gh703.v │ ├── br_gh710.v │ ├── br_gh72a.v │ ├── br_gh72b.v │ ├── br_gh72b_fail.v │ ├── br_gh732.v │ ├── br_gh756.v │ ├── br_gh782a.v │ ├── br_gh782a.vi │ ├── br_gh782b.v │ ├── br_gh782c.v │ ├── br_gh782d.v │ ├── br_gh782e.v │ ├── br_gh782f.v │ ├── br_gh788.v │ ├── br_gh79.v │ ├── br_gh793.v │ ├── br_gh8.v │ ├── br_gh800.v │ ├── br_gh801.v │ ├── br_gh801b.v │ ├── br_gh823a.v │ ├── br_gh823b.v │ ├── br_gh827.v │ ├── br_gh840a.v │ ├── br_gh840b.v │ ├── br_gh889.sdf │ ├── br_gh889.v │ ├── br_gh9.v │ ├── br_gh939.v │ ├── br_gh979.v │ ├── br_gh99a.v │ ├── br_gh99b.v │ ├── br_gh99c.v │ ├── br_gh99d.v │ ├── br_gh99e.v │ ├── br_gh99f.v │ ├── br_gh99g.v │ ├── br_gh99h.v │ ├── br_gh99i.v │ ├── br_gh99j.v │ ├── br_gh99k.v │ ├── br_gh99l.v │ ├── br_gh99m.v │ ├── br_gh99o.v │ ├── br_gh99p.v │ ├── br_gh99q.v │ ├── br_gh99r.v │ ├── br_gh99s.v │ ├── br_gh99t.v │ ├── br_gh99u.v │ ├── br_gh99v.v │ ├── br_gh99w.v │ ├── br_gh99x.v │ ├── br_ml20150315.v │ ├── br_ml20150315b.v │ ├── br_ml20150321.v │ ├── br_ml20150424.v │ ├── br_ml20171017.v │ ├── br_ml20180227.v │ ├── br_ml20180309a.v │ ├── br_ml20180309b.v │ ├── br_ml20181012a.v │ ├── br_ml20181012b.v │ ├── br_ml20181012c.v │ ├── br_ml20181012d.v │ ├── br_ml20190806a.v │ ├── br_ml20190806b.v │ ├── br_ml20190814.sdf │ ├── br_ml20190814.v │ ├── br_ml20191221.v │ ├── br_mw20171108.v │ ├── br_mw20200501.v │ ├── bufif.v │ ├── busbug.v │ ├── ca_64delay.v │ ├── ca_force.v │ ├── ca_func.v │ ├── ca_mult.v │ ├── ca_pow_signed.v │ ├── ca_pow_synth.v │ ├── ca_pow_unsigned.v │ ├── ca_real_logical.v │ ├── ca_time.v │ ├── ca_time_real.v │ ├── ca_time_smtm.v │ ├── ca_var_delay.v │ ├── case1.v │ ├── case2.v │ ├── case3.8A.v │ ├── case3.8B.v │ ├── case3.8C.v │ ├── case3.8D.v │ ├── case3.v │ ├── case4.v │ ├── case5-syn-fail.v │ ├── case5.v │ ├── case6.v │ ├── case7.v │ ├── case_priority.v │ ├── case_unique.v │ ├── case_wo_default.v │ ├── casesynth1.v │ ├── casesynth2.v │ ├── casesynth3.v │ ├── casesynth4.v │ ├── casesynth5.v │ ├── casesynth6.v │ ├── casesynth7.v │ ├── casesynth8.v │ ├── casesynth9.v │ ├── casex3.9A.v │ ├── casex3.9B.v │ ├── casex3.9C.v │ ├── casex3.9D.v │ ├── casex3.9E.v │ ├── casex_synth.v │ ├── casez3.10A.v │ ├── casez3.10B.v │ ├── casez3.10C.v │ ├── casez3.10D.v │ ├── casez3.10E.v │ ├── cast_int.v │ ├── cast_int_ams.v │ ├── cast_real.v │ ├── cast_real_invalid1.v │ ├── cast_real_invalid2.v │ ├── cast_real_invalid3.v │ ├── cast_real_invalid4.v │ ├── cast_real_signed.v │ ├── cast_real_unsigned.v │ ├── cfunc_assign_op_mixed.v │ ├── cfunc_assign_op_pv.v │ ├── cfunc_assign_op_real.v │ ├── cfunc_assign_op_vec.v │ ├── check_constant_1.v │ ├── check_constant_10.v │ ├── check_constant_11.v │ ├── check_constant_12.v │ ├── check_constant_13.v │ ├── check_constant_14.v │ ├── check_constant_15.v │ ├── check_constant_16.v │ ├── check_constant_17.v │ ├── check_constant_18.v │ ├── check_constant_19.v │ ├── check_constant_2.v │ ├── check_constant_20.v │ ├── check_constant_3.v │ ├── check_constant_4.v │ ├── check_constant_5.v │ ├── check_constant_6.v │ ├── check_constant_7.v │ ├── check_constant_8.v │ ├── check_constant_9.v │ ├── clkgen_bit.v │ ├── clkgen_logic.v │ ├── clkgen_net.v │ ├── clkgen_reg.v │ ├── clog2-signal.v │ ├── clog2.v │ ├── cmdline_parm1.v │ ├── cmos.v │ ├── cmpi.v │ ├── comment1.v │ ├── comp1000.v │ ├── comp1001.v │ ├── comp1001_fail3.v │ ├── comp1001_fail4.v │ ├── comp1001_fail5.v │ ├── compare_bool_reg.v │ ├── complex_lidx.v │ ├── con_tri.v │ ├── concat1.v │ ├── concat2.v │ ├── concat3.v │ ├── concat4.v │ ├── concat_zero_wid_fail.v │ ├── concat_zero_wid_fail2.v │ ├── cond_band.v │ ├── cond_wide.v │ ├── cond_wide2.v │ ├── condit1.v │ ├── conditsynth1.v │ ├── conditsynth2.v │ ├── conditsynth3.v │ ├── const.v │ ├── const2.v │ ├── const3.v │ ├── const4.v │ ├── constadd.v │ ├── constadd2.v │ ├── constadd3.v │ ├── constconcat1.v │ ├── constconcat2.v │ ├── constfunc1.v │ ├── constfunc10.v │ ├── constfunc11.v │ ├── constfunc12.v │ ├── constfunc13.v │ ├── constfunc14.v │ ├── constfunc15.v │ ├── constfunc16.v │ ├── constfunc17.v │ ├── constfunc18.v │ ├── constfunc19.v │ ├── constfunc2.v │ ├── constfunc20.v │ ├── constfunc3.v │ ├── constfunc4.v │ ├── constfunc4_ams.v │ ├── constfunc5.v │ ├── constfunc6.v │ ├── constfunc6_ams.v │ ├── constfunc7.v │ ├── constfunc8.v │ ├── constfunc9.v │ ├── constfunccall1.v │ ├── constfunccall2.v │ ├── constfunccall3.v │ ├── constmult.v │ ├── consttern.v │ ├── contrib8.1.v │ ├── contrib8.2.v │ ├── contrib8.3.v │ ├── contrib8.4.v │ ├── contrib8.5.v │ ├── countdrivers1.v │ ├── countdrivers2.v │ ├── countdrivers3.v │ ├── countdrivers4.v │ ├── countdrivers5.v │ ├── cprop.v │ ├── credence20041209.v │ ├── dangling_port.v │ ├── dcomp1.v │ ├── deassign3.4A.v │ ├── dec2to4.vhd │ ├── decl_assign1.v │ ├── decl_before_use1.v │ ├── decl_before_use2.v │ ├── decl_before_use3.v │ ├── decl_before_use4.v │ ├── decl_before_use5.v │ ├── decl_before_use6.v │ ├── def_nettype.v │ ├── def_nettype_none.v │ ├── define1.v │ ├── defparam.v │ ├── defparam2.v │ ├── defparam3.5.v │ ├── defparam3.v │ ├── defparam4.v │ ├── delay.v │ ├── delay2.v │ ├── delay3.v │ ├── delay4.v │ ├── delay5.v │ ├── delay_assign_nb.v │ ├── delay_assign_nb2.v │ ├── delay_var.v │ ├── delayed_comp_reduct.v │ ├── delayed_sfunc.v │ ├── deposit.v │ ├── deposit_wire.v │ ├── dff1.v │ ├── dffsynth.v │ ├── dffsynth10.v │ ├── dffsynth11.v │ ├── dffsynth2.v │ ├── dffsynth3.v │ ├── dffsynth4.v │ ├── dffsynth5.v │ ├── dffsynth6.v │ ├── dffsynth7.v │ ├── dffsynth8.v │ ├── dffsynth9.v │ ├── disable3.6A.v │ ├── disable3.6B.v │ ├── disable_cleanup.v │ ├── disable_fork.v │ ├── disable_fork_cmd.v │ ├── disblock.v │ ├── disblock2.v │ ├── disp_dec.v │ ├── disp_dec2.v │ ├── disp_leading_z.v │ ├── disp_parm.v │ ├── disp_part.v │ ├── display_bug.v │ ├── dotinid.v │ ├── drive_strength.v │ ├── drive_strength1.v │ ├── drive_strength2.v │ ├── drive_strength3.v │ ├── dummy7.v │ ├── dump_memword.v │ ├── dumpfile.v │ ├── dumpvars.v │ ├── early_sig_elab1.v │ ├── early_sig_elab2.v │ ├── early_sig_elab3.v │ ├── edge.v │ ├── eeq.v │ ├── else1.v │ ├── else2.v │ ├── else3.v │ ├── elsif_test.v │ ├── enum_base_atom2.v │ ├── enum_base_fail_array.v │ ├── enum_base_fail_class.v │ ├── enum_base_fail_darray.v │ ├── enum_base_fail_enum.v │ ├── enum_base_fail_queue.v │ ├── enum_base_fail_range1.v │ ├── enum_base_fail_range2.v │ ├── enum_base_fail_range3.v │ ├── enum_base_fail_real1.v │ ├── enum_base_fail_real2.v │ ├── enum_base_fail_string1.v │ ├── enum_base_fail_string2.v │ ├── enum_base_fail_struct.v │ ├── enum_base_integer.v │ ├── enum_base_none.v │ ├── enum_base_range.v │ ├── enum_base_scalar.v │ ├── enum_base_time.v │ ├── enum_base_typename1.v │ ├── enum_base_typename2.v │ ├── enum_compatibility1.v │ ├── enum_compatibility2.v │ ├── enum_compatibility3.v │ ├── enum_compatibility4.v │ ├── enum_compatibility5.v │ ├── enum_compatibility6.v │ ├── enum_compatibility7.v │ ├── enum_compatibility8.v │ ├── enum_compatibility_fail1.v │ ├── enum_compatibility_fail2.v │ ├── enum_compatibility_fail3.v │ ├── enum_compatibility_fail4.v │ ├── enum_compatibility_fail5.v │ ├── enum_compatibility_fail6.v │ ├── enum_compatibility_fail7.v │ ├── enum_compatibility_fail8.v │ ├── enum_dims_invalid.v │ ├── enum_elem_ranges.v │ ├── enum_in_class.v │ ├── enum_in_class_name_coll.v │ ├── enum_in_struct.v │ ├── enum_line_info.v │ ├── enum_method_signed1.v │ ├── enum_method_signed2.v │ ├── enum_method_signed3.v │ ├── enum_method_signed4.v │ ├── enum_next.v │ ├── enum_order.v │ ├── enum_ports.v │ ├── enum_test1.v │ ├── enum_test2.v │ ├── enum_test3.v │ ├── enum_test4.v │ ├── enum_test5.v │ ├── enum_test6.v │ ├── enum_test7.v │ ├── enum_test8.v │ ├── enum_value_expr.v │ ├── enum_values.v │ ├── enumsystem.vhd │ ├── eofmt_percent.v │ ├── eq.v │ ├── escape1.v │ ├── escape2a.v │ ├── escape2b.v │ ├── escape2c.v │ ├── escape3.v │ ├── escape4.v │ ├── escape4b.v │ ├── escaped_macro_name.v │ ├── event2.v │ ├── event3.15.v │ ├── event3.v │ ├── event_array.v │ ├── event_list.v │ ├── event_list2.v │ ├── event_list3.v │ ├── extend.v │ ├── extra_semicolon.v │ ├── fatal_et_al.v │ ├── fatal_et_al2.v │ ├── fdisplay1.v │ ├── fdisplay2.v │ ├── fdisplay3.v │ ├── fdisplay_fail_fd.v │ ├── fdisplay_fail_mcd.v │ ├── ff_dual_enable.v │ ├── fileio.v │ ├── fileline.v │ ├── fileline2.v │ ├── final.v │ ├── final2.v │ ├── final3.v │ ├── first_last_num.v │ ├── fopen1.v │ ├── fopen2.v │ ├── for3.16A.v │ ├── for_loop_synth.v │ ├── for_loop_synth2.v │ ├── force1.v │ ├── force2.v │ ├── force3.17A.v │ ├── force3.17B.v │ ├── force3.17C.v │ ├── force_lval_part.v │ ├── force_release_reg_pv.v │ ├── force_release_wire8_pv.v │ ├── force_release_wire_pv.v │ ├── forgen.vhd │ ├── fork1.v │ ├── fork3.19A.v │ ├── fork3.19B.v │ ├── fork_join_any.v │ ├── fork_join_dis.v │ ├── fork_join_none.v │ ├── format.v │ ├── fr47.v │ ├── fr49.v │ ├── fread-error.v │ ├── fread.txt │ ├── fread.v │ ├── fscanf_u.v │ ├── fscanf_u_warn.v │ ├── fscanf_z.v │ ├── fscanf_z_warn.v │ ├── full_case.v │ ├── full_case2.v │ ├── func_empty_arg1.v │ ├── func_empty_arg2.v │ ├── func_empty_arg3.v │ ├── func_empty_arg_fail1.v │ ├── func_empty_arg_fail2.v │ ├── func_empty_arg_fail3.v │ ├── func_empty_arg_fail4.v │ ├── func_init_var1.v │ ├── func_init_var2.v │ ├── func_init_var3.v │ ├── func_void_in_expr_fail.v │ ├── function1.v │ ├── function10.v │ ├── function11.v │ ├── function12.v │ ├── function2.v │ ├── function3.11B.v │ ├── function3.11C.v │ ├── function3.11D.v │ ├── function3.11E.v │ ├── function3.11F.v │ ├── function3.v │ ├── function4.v │ ├── function5.v │ ├── function6.v │ ├── function7.v │ ├── function8.v │ ├── function9.v │ ├── function_exp.v │ ├── ga_and.v │ ├── ga_mod.v │ ├── ga_mod1.v │ ├── ga_mod2.v │ ├── ga_nand.v │ ├── ga_nor.v │ ├── ga_or.v │ ├── ga_xnor.v │ ├── ga_xor.v │ ├── galan.v │ ├── gate_connect1.v │ ├── gate_connect2.v │ ├── gen_case_opt1.v │ ├── gen_case_opt2.v │ ├── gen_case_opt3.v │ ├── generate_case.v │ ├── generate_case2.v │ ├── generate_case3.v │ ├── generate_module.v │ ├── generate_multi_loop.v │ ├── generate_specify.v │ ├── generate_specparam.v │ ├── generate_timeunit.v │ ├── genloop.v │ ├── genvar_compressed.v │ ├── genvar_inc_dec.v │ ├── genvar_scopes.v │ ├── gh161a.v │ ├── gh161b.v │ ├── gxor.vhd │ ├── hello1.v │ ├── hier_ref_error.v │ ├── hierspace.v │ ├── ibit_test.v │ ├── ibyte_test.v │ ├── idiv1.v │ ├── idiv2.v │ ├── idiv3.v │ ├── if_part_no_else.v │ ├── if_part_no_else2.v │ ├── ifdef1.v │ ├── ifdef2.v │ ├── ifdef3.v │ ├── ifdef4.v │ ├── ifdef_fail.v │ ├── iint_test.v │ ├── ilongint_test.v │ ├── implicit-port1.v │ ├── implicit-port2.v │ ├── implicit-port3.v │ ├── implicit-port4.v │ ├── implicit-port5.v │ ├── implicit-port6.v │ ├── implicit-port7.v │ ├── implicit1.v │ ├── implicit_cast1.v │ ├── implicit_cast10.v │ ├── implicit_cast11.v │ ├── implicit_cast12.v │ ├── implicit_cast13.v │ ├── implicit_cast2.v │ ├── implicit_cast3.v │ ├── implicit_cast4.v │ ├── implicit_cast5.v │ ├── implicit_cast6.v │ ├── implicit_cast7.v │ ├── implicit_cast8.v │ ├── implicit_cast9.v │ ├── inc_dec_stmt.v │ ├── include1.v │ ├── include2.v │ ├── include3.v │ ├── indef_width_concat.v │ ├── initmod.v │ ├── initmod2.v │ ├── inout.v │ ├── inout2.v │ ├── inout3.v │ ├── inout4.v │ ├── inside_synth.v │ ├── inside_synth2.v │ ├── inside_synth3.v │ ├── int_not_signext.v │ ├── int_param.v │ ├── integer1lt.v │ ├── integer2le.v │ ├── integer3gt.v │ ├── integer4ge.v │ ├── integer5.v │ ├── ipsdownsel_real_idx.v │ ├── ipsupsel_real_idx.v │ ├── ishortint_test.v │ ├── issue576.v │ ├── itor_rtoi.v │ ├── iuint1.v │ ├── ivlh_event.v │ ├── ivlh_rising_falling.v │ ├── ivlh_textio.v │ ├── l_equiv.v │ ├── l_equiv_ca.v │ ├── l_equiv_const.v │ ├── l_impl.v │ ├── land2.v │ ├── land3.v │ ├── land4.v │ ├── land5.v │ ├── landor1.v │ ├── lcatsynth.v │ ├── ldelay1.v │ ├── ldelay2.v │ ├── ldelay3.v │ ├── ldelay4.v │ ├── ldelay5.v │ ├── lh_catadd.v │ ├── lh_memcat.v │ ├── lh_memcat2.v │ ├── lh_memcat3.v │ ├── lh_varindx.v │ ├── lh_varindx2.v │ ├── lh_varindx3.v │ ├── lh_varindx4.v │ ├── lh_varindx5.v │ ├── line_directive.v │ ├── line_directive_inc.v │ ├── localparam_implicit.v │ ├── localparam_implicit2.v │ ├── localparam_implicit3.v │ ├── localparam_query.v │ ├── localparam_type.v │ ├── localparam_type2.v │ ├── logical_short_circuit.v │ ├── logp2.v │ ├── long_div.v │ ├── macro2.v │ ├── macro_args.v │ ├── macro_args_sub.v │ ├── macro_comment1.v │ ├── macro_comment2.v │ ├── macro_comment3.v │ ├── macro_comment_multiline.v │ ├── macro_redefinition.v │ ├── macro_replacement.v │ ├── macro_str_esc.v │ ├── macro_with_args.v │ ├── macsub.v │ ├── mangle.v │ ├── mangle_1.v │ ├── many_drivers.v │ ├── mcl1.v │ ├── mcl2.v │ ├── mem1.dat │ ├── mem1.v │ ├── mem2port.v │ ├── memassign.v │ ├── memidx.v │ ├── memidx2.v │ ├── memidxrng.v │ ├── meminit.v │ ├── meminit2.v │ ├── memport_bs.v │ ├── memref.v │ ├── memsynth1.v │ ├── memsynth2.v │ ├── memsynth3.v │ ├── memsynth4.v │ ├── memsynth5.v │ ├── memsynth6.v │ ├── memsynth7.v │ ├── memsynth8.v │ ├── memsynth9.v │ ├── mhead_task.v │ ├── mix_reset.v │ ├── mixed_type_div_mod.v │ ├── mixed_width_case.v │ ├── mod_inst_pkg.v │ ├── modparam.v │ ├── module3.12A.v │ ├── module3.12B.v │ ├── module3.12C.v │ ├── module_inout_port_list_def.v │ ├── module_inout_port_type.v │ ├── module_input_port_list_def.v │ ├── module_input_port_type.v │ ├── module_nonansi_atom2_fail.v │ ├── module_nonansi_enum1.v │ ├── module_nonansi_enum2.v │ ├── module_nonansi_enum_fail.v │ ├── module_nonansi_fail1.v │ ├── module_nonansi_fail10.v │ ├── module_nonansi_fail11.v │ ├── module_nonansi_fail12.v │ ├── module_nonansi_fail13.v │ ├── module_nonansi_fail2.v │ ├── module_nonansi_fail3.v │ ├── module_nonansi_fail4.v │ ├── module_nonansi_fail5.v │ ├── module_nonansi_fail6.v │ ├── module_nonansi_fail7.v │ ├── module_nonansi_fail8.v │ ├── module_nonansi_fail9.v │ ├── module_nonansi_int1.v │ ├── module_nonansi_int2.v │ ├── module_nonansi_integer1.v │ ├── module_nonansi_integer2.v │ ├── module_nonansi_integer_fail.v │ ├── module_nonansi_parray1.v │ ├── module_nonansi_parray2.v │ ├── module_nonansi_parray_fail.v │ ├── module_nonansi_real1.v │ ├── module_nonansi_real2.v │ ├── module_nonansi_real_fail.v │ ├── module_nonansi_struct1.v │ ├── module_nonansi_struct2.v │ ├── module_nonansi_struct_fail.v │ ├── module_nonansi_time1.v │ ├── module_nonansi_time2.v │ ├── module_nonansi_time_fail.v │ ├── module_nonansi_vec1.v │ ├── module_nonansi_vec2.v │ ├── module_nonansi_vec_fail1.v │ ├── module_nonansi_vec_fail2.v │ ├── module_nonansi_vec_fail3.v │ ├── module_ordered_list1.v │ ├── module_ordered_list2.v │ ├── module_output_port_list_def.v │ ├── module_output_port_sv_var1.v │ ├── module_output_port_sv_var2.v │ ├── module_output_port_var1.v │ ├── module_output_port_var2.v │ ├── module_port_array1.v │ ├── module_port_array_init1.v │ ├── module_port_range_mismatch.v │ ├── module_port_shortreal.v │ ├── module_port_typedef_array1.v │ ├── module_port_typedef_vector.v │ ├── modulus.v │ ├── modulus2.v │ ├── monitor.v │ ├── monitor2.v │ ├── monitor3.v │ ├── mult1.v │ ├── mult16.v │ ├── mult2.v │ ├── multi_bit_strength.v │ ├── multi_driver_delay.v │ ├── multiply_large.v │ ├── multireg.v │ ├── mux2to1.vhd │ ├── muxtest.v │ ├── named_begin.v │ ├── named_begin_fail.v │ ├── named_event_no_edges.v │ ├── named_fork.v │ ├── named_fork_fail.v │ ├── nb_array_pv.v │ ├── nb_assign.v │ ├── nb_delay.v │ ├── nb_ec_array.v │ ├── nb_ec_array_pv.v │ ├── nb_ec_array_pv2.v │ ├── nb_ec_concat.v │ ├── nb_ec_multi_ev.v │ ├── nb_ec_pv.v │ ├── nb_ec_pv2.v │ ├── nb_ec_real.v │ ├── nb_ec_vector.v │ ├── nblkorder.v │ ├── nblkpush.v │ ├── negative_genvar.v │ ├── negvalue.v │ ├── neq1.v │ ├── nested_func.v │ ├── nested_impl_event1.v │ ├── nested_impl_event2.v │ ├── net_class_fail.v │ ├── net_darray_fail.v │ ├── net_queue_fail.v │ ├── net_string_fail.v │ ├── no_if_statement.v │ ├── no_timescale_in_module.v │ ├── non-polymorphic-abs.v │ ├── not_a_latch1.v │ ├── not_a_latch2.v │ ├── npmos.v │ ├── npmos2.v │ ├── p_monta.v │ ├── package_vec_part_select.v │ ├── packed_dims_invalid_class.v │ ├── packed_dims_invalid_module.v │ ├── packeda.v │ ├── packeda2.v │ ├── par_mismatch.v │ ├── param-extend.v │ ├── param-width.v │ ├── param_add.v │ ├── param_and.v │ ├── param_and2.v │ ├── param_band.v │ ├── param_binv.v │ ├── param_bor.v │ ├── param_concat.v │ ├── param_eq3.v │ ├── param_expr.v │ ├── param_mod.v │ ├── param_select.v │ ├── param_select2.v │ ├── param_select3.v │ ├── param_string.v │ ├── param_tern.v │ ├── param_tern2.v │ ├── param_test1.v │ ├── param_test2.v │ ├── param_test3.v │ ├── param_test4.v │ ├── param_times.v │ ├── param_vec.v │ ├── param_vec2.v │ ├── parameter_1bit.v │ ├── parameter_in_generate1.v │ ├── parameter_in_generate2.v │ ├── parameter_no_default.v │ ├── parameter_no_default_fail1.v │ ├── parameter_no_default_fail2.v │ ├── parameter_no_default_toplvl.v │ ├── parameter_omit1.v │ ├── parameter_omit2.v │ ├── parameter_omit3.v │ ├── parameter_omit_invalid1.v │ ├── parameter_omit_invalid2.v │ ├── parameter_omit_invalid3.v │ ├── parameter_override_invalid1.v │ ├── parameter_override_invalid2.v │ ├── parameter_override_invalid3.v │ ├── parameter_override_invalid4.v │ ├── parameter_override_invalid5.v │ ├── parameter_override_invalid6.v │ ├── parameter_override_invalid7.v │ ├── parameter_override_invalid8.v │ ├── parameter_scalar.v │ ├── parameter_type.v │ ├── parameter_type2.v │ ├── parpkg_test.v │ ├── parpkg_test2.v │ ├── parpkg_test3.v │ ├── part_sel_port.v │ ├── partsel_invalid_idx1.v │ ├── partsel_invalid_idx2.v │ ├── partsel_invalid_idx3.v │ ├── partsel_invalid_idx4.v │ ├── partsel_invalid_idx5.v │ ├── partsel_invalid_idx6.v │ ├── partsel_outside_const.v │ ├── partsel_outside_expr.v │ ├── partsel_real_idx.v │ ├── partsel_reversed_idx1.v │ ├── partsel_reversed_idx2.v │ ├── partsel_reversed_idx3.v │ ├── partsel_reversed_idx4.v │ ├── partsel_reversed_idx5.v │ ├── partsel_reversed_idx6.v │ ├── partselsynth.v │ ├── patch1268.v │ ├── pca1.v │ ├── plus_5.v │ ├── plus_arg_string.v │ ├── port-test2.v │ ├── port-test3.v │ ├── port-test4a.v │ ├── port-test4b.v │ ├── port-test5.v │ ├── port-test6.v │ ├── port-test7.v │ ├── posedge.v │ ├── pow-ca.v │ ├── pow-const.v │ ├── pow-proc.v │ ├── pow_ca_signed.v │ ├── pow_ca_unsigned.v │ ├── pow_reg_signed.v │ ├── pow_reg_unsigned.v │ ├── pow_signed.v │ ├── pow_unsigned.v │ ├── pr1000.v │ ├── pr1002.v │ ├── pr1002a.v │ ├── pr1007.v │ ├── pr1008.v │ ├── pr1022.v │ ├── pr1024.v │ ├── pr1026.v │ ├── pr1029.v │ ├── pr1032.v │ ├── pr1033.v │ ├── pr1065.v │ ├── pr1072.v │ ├── pr1077.v │ ├── pr1087.v │ ├── pr1101.v │ ├── pr1115.v │ ├── pr1120.v │ ├── pr1353345.v │ ├── pr1353345b.v │ ├── pr136.v │ ├── pr1367855.v │ ├── pr1380261.v │ ├── pr1388974.v │ ├── pr1403406-1.cf │ ├── pr1403406-2.cf │ ├── pr1403406.v │ ├── pr1403406a.v │ ├── pr1403406b.v │ ├── pr142.v │ ├── pr1421777.v │ ├── pr1444055.v │ ├── pr1449749a.v │ ├── pr1455873.v │ ├── pr1465769.v │ ├── pr1467825.v │ ├── pr1474283.v │ ├── pr1474316.v │ ├── pr1474318.v │ ├── pr1476440.v │ ├── pr1477190.v │ ├── pr1478121.v │ ├── pr1478988.v │ ├── pr1489568.v │ ├── pr1489570.v │ ├── pr1491355.v │ ├── pr1492075.v │ ├── pr1494799.v │ ├── pr1508882.v │ ├── pr1510724.v │ ├── pr1515168.v │ ├── pr1520314.v │ ├── pr1522570.v │ ├── pr1528093.v │ ├── pr1530426.v │ ├── pr1561597.v │ ├── pr1565544.v │ ├── pr1565699b.v │ ├── pr1570451.v │ ├── pr1570451b.v │ ├── pr1570635.v │ ├── pr1570635b.v │ ├── pr1574175.v │ ├── pr1581580.v │ ├── pr1587634.v │ ├── pr1587669.v │ ├── pr1589497.v │ ├── pr1598445.v │ ├── pr1601896.v │ ├── pr1601898.v │ ├── pr1603313.v │ ├── pr1603918.v │ ├── pr1609611.v │ ├── pr1612693.v │ ├── pr1623097.v │ ├── pr1625912.v │ ├── pr1628288.v │ ├── pr1628300.v │ ├── pr1629683.v │ ├── pr1632861.v │ ├── pr1634526.v │ ├── pr1636409.v │ ├── pr1637208.v │ ├── pr1638985.v │ ├── pr1639060.v │ ├── pr1639064.v │ ├── pr1639064b.v │ ├── pr1639968.v │ ├── pr1639971.v │ ├── pr1645277.v │ ├── pr1645518.v │ ├── pr1648365.v │ ├── pr1650842.v │ ├── pr1657307.v │ ├── pr1661640.v │ ├── pr1662508.v │ ├── pr1664684.v │ ├── pr1675789.v │ ├── pr1675789b.v │ ├── pr1676071.v │ ├── pr1676836.v │ ├── pr1682887.v │ ├── pr1687193.dat │ ├── pr1687193.v │ ├── pr1688717.v │ ├── pr1690058.v │ ├── pr1691599b.v │ ├── pr1691709.v │ ├── pr1693890.v │ ├── pr1693921.v │ ├── pr1694413.v │ ├── pr1694427.v │ ├── pr1695257.v │ ├── pr1695309.v │ ├── pr1695322.v │ ├── pr1695334.v │ ├── pr1696137.v │ ├── pr1697250.v │ ├── pr1697732.v │ ├── pr1698499.v │ ├── pr1698658.v │ ├── pr1698659.v │ ├── pr1698820.v │ ├── pr1699444.v │ ├── pr1699519.v │ ├── pr1701855.v │ ├── pr1701855b.v │ ├── pr1701889.v │ ├── pr1701890.v │ ├── pr1701921.v │ ├── pr1702593.v │ ├── pr1703120.v │ ├── pr1703346.v │ ├── pr1703959.v │ ├── pr1704013.v │ ├── pr1704726a.v │ ├── pr1704726b.v │ ├── pr1704726c.v │ ├── pr1704726d.v │ ├── pr1705027.v │ ├── pr1716276.v │ ├── pr1717361.v │ ├── pr1719055.v │ ├── pr1723367.v │ ├── pr1735724.v │ ├── pr1735822.v │ ├── pr1735836.v │ ├── pr1740476b.v │ ├── pr1741212.v │ ├── pr1742910.v │ ├── pr1745005.v │ ├── pr1746401.v │ ├── pr1746848.v │ ├── pr1750870.v │ ├── pr1752353.v │ ├── pr1752823a.v │ ├── pr1752823b.v │ ├── pr1755593.v │ ├── pr1755629.v │ ├── pr1758122.v │ ├── pr1758135.v │ ├── pr1763333.v │ ├── pr1765789.v │ ├── pr1770199.v │ ├── pr1771903.v │ ├── pr1776485.v │ ├── pr1777103.v │ ├── pr1780480.v │ ├── pr1784984.v │ ├── pr1787394a.v │ ├── pr1787394b.v │ ├── pr1787423.v │ ├── pr1787423b.v │ ├── pr1787423b_std.v │ ├── pr1787423c.v │ ├── pr1792108.v │ ├── pr1792152.v │ ├── pr1792734.v │ ├── pr1793157.v │ ├── pr1793749.v │ ├── pr1793749b.v │ ├── pr1794362.v │ ├── pr1795005a.v │ ├── pr1795005b.v │ ├── pr1799904.v │ ├── pr1804877.v │ ├── pr1805837.v │ ├── pr1812297.v │ ├── pr1819452.txt │ ├── pr1819452.v │ ├── pr1820472.v │ ├── pr1822658.v │ ├── pr1823732.v │ ├── pr1828642.v │ ├── pr183.v │ ├── pr1830834.v │ ├── pr1831724.v │ ├── pr1832097a.v │ ├── pr1832097b.v │ ├── pr1833024.v │ ├── pr1833754.v │ ├── pr1841300.v │ ├── pr1845683.v │ ├── pr1851310.v │ ├── pr1855504.v │ ├── pr1861212a.v │ ├── pr1861212b.v │ ├── pr1861212c.v │ ├── pr1861212d.v │ ├── pr1862744a.v │ ├── pr1862744b.v │ ├── pr1864110a.v │ ├── pr1864110b.v │ ├── pr1864110c.v │ ├── pr1864115.v │ ├── pr1866215.v │ ├── pr1866215b.v │ ├── pr1867161a.v │ ├── pr1867161b.v │ ├── pr1867332.v │ ├── pr1868792.v │ ├── pr1868991a.v │ ├── pr1868991b.v │ ├── pr1869769.v │ ├── pr1869772.v │ ├── pr1869781.v │ ├── pr1873146.v │ ├── pr1873372.v │ ├── pr1875866.v │ ├── pr1875866b.v │ ├── pr1876798.v │ ├── pr1877740.v │ ├── pr1877743.v │ ├── pr1878909.v │ ├── pr1879226.v │ ├── pr1880003.v │ ├── pr1883052.v │ ├── pr1883052b.v │ ├── pr1885847.v │ ├── pr1887168.v │ ├── pr1892959.v │ ├── pr1892959b.v │ ├── pr1898293.v │ ├── pr1898983.v │ ├── pr1901125.v │ ├── pr1903157.v │ ├── pr1903324.v │ ├── pr1903343.v │ ├── pr1903520.v │ ├── pr1907192.v │ ├── pr1909940.v │ ├── pr1909940b.v │ ├── pr1912112.v │ ├── pr1912843.v │ ├── pr1913918a.v │ ├── pr1913918b.v │ ├── pr1913918c.v │ ├── pr1913937.v │ ├── pr1916261.v │ ├── pr1916261a.v │ ├── pr1921332.v │ ├── pr1924845.v │ ├── pr1925356.v │ ├── pr1925360.v │ ├── pr1925363a.v │ ├── pr1925363b.v │ ├── pr1932444.v │ ├── pr1934744.v │ ├── pr1936363.v │ ├── pr1938138.v │ ├── pr1939165.v │ ├── pr1946411.v │ ├── pr1948110.v │ ├── pr1948342.v │ ├── pr1949025.v │ ├── pr1950282.v │ ├── pr1956211.v │ ├── pr1958801.v │ ├── pr1960545.v │ ├── pr1960548.v │ ├── pr1960558.v │ ├── pr1960575.v │ ├── pr1960596.v │ ├── pr1960619.v │ ├── pr1960625.v │ ├── pr1960633.v │ ├── pr1963240.v │ ├── pr1963960.v │ ├── pr1963962.v │ ├── pr1971662a.v │ ├── pr1971662b.v │ ├── pr1978358.v │ ├── pr1978358b.v │ ├── pr1978358c.v │ ├── pr1978358d.v │ ├── pr1983762.v │ ├── pr1985582.v │ ├── pr1985582_std.v │ ├── pr1988302.v │ ├── pr1988302b.v │ ├── pr1988310.v │ ├── pr1990029.v │ ├── pr1990164.v │ ├── pr1990269.v │ ├── pr1992244.v │ ├── pr1992729.v │ ├── pr1993479.v │ ├── pr2001162.v │ ├── pr2002443.v │ ├── pr2011429.v │ ├── pr2013758.v │ ├── pr2014673.v │ ├── pr2015466.v │ ├── pr2018235a.v │ ├── pr2018235b.v │ ├── pr2018305.v │ ├── pr2019553.v │ ├── pr2029336.in │ ├── pr2029336.v │ ├── pr2030767.v │ ├── pr2036953.v │ ├── pr2038048.v │ ├── pr2039632.v │ ├── pr2039694.v │ ├── pr2043324.v │ ├── pr2043585.v │ ├── pr2051694.v │ ├── pr2051975.v │ ├── pr2053944.v │ ├── pr2076363.v │ ├── pr2076391.v │ ├── pr2076425.v │ ├── pr2085984.v │ ├── pr2091455.v │ ├── pr2109179.v │ ├── pr2117473.v │ ├── pr2117488.v │ ├── pr2119622.v │ ├── pr2121536.v │ ├── pr2121536b.v │ ├── pr2123158.v │ ├── pr2123190.v │ ├── pr2132552.v │ ├── pr2136787.v │ ├── pr2138682.v │ ├── pr2138979.v │ ├── pr2138979b.v │ ├── pr2138979c.v │ ├── pr2138979d.v │ ├── pr2139593.v │ ├── pr2146620.v │ ├── pr2146620b.v │ ├── pr2146620c.v │ ├── pr2146824.v │ ├── pr2148401.v │ ├── pr2152011.v │ ├── pr2159630.v │ ├── pr2166188.v │ ├── pr2166311.v │ ├── pr2169870.v │ ├── pr2172606.v │ ├── pr2172606b.v │ ├── pr2181249.v │ ├── pr2190323.v │ ├── pr2201909.v │ ├── pr2201909b.v │ ├── pr2202706.v │ ├── pr2202706b.v │ ├── pr2202706c.v │ ├── pr2202846a.v │ ├── pr2202846b.v │ ├── pr2202846c.v │ ├── pr2208681.v │ ├── pr2215342.v │ ├── pr2215342_inc.v │ ├── pr2219441.v │ ├── pr2219441b.v │ ├── pr2224845.v │ ├── pr2224949.v │ ├── pr2233180.v │ ├── pr2233180b.v │ ├── pr2233180c.v │ ├── pr2233192.v │ ├── pr2233192b.v │ ├── pr2233192c.v │ ├── pr224.v │ ├── pr2248925.v │ ├── pr224a.v │ ├── pr2251119.v │ ├── pr2257003.v │ ├── pr2257003b.v │ ├── pr2270035.v │ ├── pr2272468.v │ ├── pr2276163.v │ ├── pr2281479.v │ ├── pr2305307.v │ ├── pr2305307b.v │ ├── pr2305307c.v │ ├── pr2306259.v │ ├── pr2350934.v │ ├── pr2350934b.v │ ├── pr2350988.v │ ├── pr2352834.v │ ├── pr2355304.v │ ├── pr2355304b.v │ ├── pr2358264.v │ ├── pr2358848.v │ ├── pr2395378a.v │ ├── pr2395378b.v │ ├── pr2395378c.v │ ├── pr2395835.v │ ├── pr2425055a.v │ ├── pr2425055b.v │ ├── pr2425055c.v │ ├── pr2428890.v │ ├── pr2428890b.v │ ├── pr2428890c.v │ ├── pr243.v │ ├── pr2434688.v │ ├── pr2434688b.v │ ├── pr243_std.v │ ├── pr245.v │ ├── pr2450244.v │ ├── pr2453002.v │ ├── pr2453002b.v │ ├── pr2456943.v │ ├── pr2459681.v │ ├── pr245_std.v │ ├── pr2470181a.v │ ├── pr2470181b.v │ ├── pr2476430.v │ ├── pr2486350.v │ ├── pr2503208.v │ ├── pr2509349.txt │ ├── pr2509349a.v │ ├── pr2509349b.v │ ├── pr2528915.v │ ├── pr2533175.v │ ├── pr2579479.v │ ├── pr2580730.v │ ├── pr2590274a.v │ ├── pr2590274b.v │ ├── pr2590274c.v │ ├── pr2593733.v │ ├── pr2597278.v │ ├── pr2597278b.v │ ├── pr2605006.v │ ├── pr2673846.v │ ├── pr2688910.v │ ├── pr2709097.hex │ ├── pr2709097.v │ ├── pr2715547.v │ ├── pr2715558.v │ ├── pr2715558b.v │ ├── pr2715748.v │ ├── pr2721213.v │ ├── pr2722330a.v │ ├── pr2722330b.v │ ├── pr2722339a.v │ ├── pr2722339b.v │ ├── pr2723712.v │ ├── pr2725700a.v │ ├── pr2725700b.v │ ├── pr2725700c.v │ ├── pr2728032.v │ ├── pr2728547.v │ ├── pr2728812a.v │ ├── pr2728812b.v │ ├── pr2728812c.v │ ├── pr273.v │ ├── pr2745281.v │ ├── pr2781595.v │ ├── pr2785294.v │ ├── pr2788686.v │ ├── pr2790236.v │ ├── pr2792883.v │ ├── pr2792897.v │ ├── pr2792897_std.v │ ├── pr2794144.v │ ├── pr2800985a.v │ ├── pr2800985b.v │ ├── pr2801134.v │ ├── pr2801662.v │ ├── pr2806449.v │ ├── pr2806474.v │ ├── pr2809288.v │ ├── pr2815398a.v │ ├── pr2815398a_std.v │ ├── pr2815398b.v │ ├── pr2818823.v │ ├── pr2823414.v │ ├── pr2823711.v │ ├── pr2824189.txt │ ├── pr2824189.v │ ├── pr2829776.v │ ├── pr2829776b.v │ ├── pr2832234.v │ ├── pr2834340.v │ ├── pr2834340b.v │ ├── pr2835632a.v │ ├── pr2835632b.v │ ├── pr2837451.v │ ├── pr2842185.v │ ├── pr2842621.v │ ├── pr2842621_std.v │ ├── pr2848986.v │ ├── pr2849783.v │ ├── pr2859628.v │ ├── pr2865563.v │ ├── pr2877555.v │ ├── pr2877564.v │ ├── pr2883958.v │ ├── pr2885048.v │ ├── pr2890322.v │ ├── pr2901556.v │ ├── pr2909386a.v │ ├── pr2909386b.v │ ├── pr2909414.v │ ├── pr2909555.v │ ├── pr2913404.v │ ├── pr2913416.v │ ├── pr2913438a.v │ ├── pr2913438b.v │ ├── pr2913927.v │ ├── pr2918095.v │ ├── pr2922063.v │ ├── pr2922063a.v │ ├── pr2922063b.v │ ├── pr2924354.v │ ├── pr2929913.v │ ├── pr2930506.v │ ├── pr2937417.v │ ├── pr2937417b.v │ ├── pr2937417c.v │ ├── pr2941939.v │ ├── pr2943394.v │ ├── pr2951657.v │ ├── pr2969724.v │ ├── pr2971207.v │ ├── pr2972866.sdf │ ├── pr2972866.v │ ├── pr2973532.v │ ├── pr2974051.v │ ├── pr2974216.v │ ├── pr2974216b.v │ ├── pr2974294.v │ ├── pr2976242.v │ ├── pr2976242b.v │ ├── pr2976242c.v │ ├── pr298.v │ ├── pr2985542.v │ ├── pr2986497.v │ ├── pr2986528.v │ ├── pr2991457.v │ ├── pr2991457b.v │ ├── pr2994193.v │ ├── pr2998515.v │ ├── pr3011327.v │ ├── pr3012758.inc │ ├── pr3012758.v │ ├── pr3015421.v │ ├── pr3022502.v │ ├── pr3024131.v │ ├── pr3039548.v │ ├── pr304.v │ ├── pr3044843.v │ ├── pr3054101a.v │ ├── pr3054101b.v │ ├── pr3054101c.v │ ├── pr3054101d.v │ ├── pr3054101e.v │ ├── pr3054101f.v │ ├── pr3054101g.v │ ├── pr3054101h.v │ ├── pr3061015a.v │ ├── pr3061015b.v │ ├── pr3061015c.v │ ├── pr3064375.v │ ├── pr3064511.v │ ├── pr307.v │ ├── pr3077640.v │ ├── pr3078759.v │ ├── pr307a.v │ ├── pr3098439.v │ ├── pr3098439a.v │ ├── pr3098439b.v │ ├── pr3103880.v │ ├── pr3104254.v │ ├── pr3112073a.v │ ├── pr312.v │ ├── pr3149494.v │ ├── pr3190941.v │ ├── pr3190948.v │ ├── pr3194155.v │ ├── pr3197861.v │ ├── pr3197917.v │ ├── pr3270320.v │ ├── pr3270320_ams.v │ ├── pr3284821.v │ ├── pr3292735.v │ ├── pr3296466a.v │ ├── pr3296466b.v │ ├── pr3296466c.v │ ├── pr3296466d.v │ ├── pr3306516.v │ ├── pr3309391.v │ ├── pr3366114.v │ ├── pr3366217a.v │ ├── pr3366217b.v │ ├── pr3366217c.v │ ├── pr3366217d.v │ ├── pr3366217e.v │ ├── pr3366217f.v │ ├── pr3366217g.v │ ├── pr3366217h.v │ ├── pr3366217i.v │ ├── pr3368642.v │ ├── pr338.v │ ├── pr3390385.v │ ├── pr3390385b.v │ ├── pr3390385c.v │ ├── pr3390385d.v │ ├── pr3409749.v │ ├── pr3437290a.v │ ├── pr3437290b.v │ ├── pr3437290c.v │ ├── pr3441576.v │ ├── pr3445452.v │ ├── pr3452808.v │ ├── pr3462145.v │ ├── pr3465541.v │ ├── pr3477107.v │ ├── pr3499807.v │ ├── pr3515542.v │ ├── pr3522653.v │ ├── pr3527022.v │ ├── pr3527694.v │ ├── pr3534333.v │ ├── pr3534422.v │ ├── pr3539372.v │ ├── pr3549328.v │ ├── pr355.v │ ├── pr3557493.v │ ├── pr3561350.v │ ├── pr3563412.v │ ├── pr3571573.v │ ├── pr3576165.v │ ├── pr3582052.v │ ├── pr3587570.v │ ├── pr3592746.v │ ├── pr377.v │ ├── pr434.v │ ├── pr445.v │ ├── pr478.v │ ├── pr487.v │ ├── pr492.v │ ├── pr498a.v │ ├── pr498b.v │ ├── pr508.v │ ├── pr509.v │ ├── pr509b.v │ ├── pr511.v │ ├── pr513.v │ ├── pr519.v │ ├── pr522.v │ ├── pr524.v │ ├── pr527.v │ ├── pr528.v │ ├── pr528b.v │ ├── pr529.v │ ├── pr530a.v │ ├── pr530b.v │ ├── pr530c.v │ ├── pr531a.v │ ├── pr531b.v │ ├── pr532.v │ ├── pr532b.v │ ├── pr533.v │ ├── pr534.v │ ├── pr538.v │ ├── pr540.v │ ├── pr540b.v │ ├── pr540c.v │ ├── pr541.v │ ├── pr542.v │ ├── pr544.v │ ├── pr547.v │ ├── pr556.v │ ├── pr564.v │ ├── pr567.v │ ├── pr569.v │ ├── pr572.v │ ├── pr572b.v │ ├── pr578.v │ ├── pr581.v │ ├── pr584.v │ ├── pr585.v │ ├── pr587.v │ ├── pr590.v │ ├── pr594.v │ ├── pr596.v │ ├── pr602.v │ ├── pr617.v │ ├── pr622.v │ ├── pr632.v │ ├── pr639.v │ ├── pr673.v │ ├── pr675.v │ ├── pr678.v │ ├── pr685.v │ ├── pr690.dat │ ├── pr690.v │ ├── pr693.v │ ├── pr699.v │ ├── pr699b.v │ ├── pr704.hex │ ├── pr704.v │ ├── pr707.v │ ├── pr708.v │ ├── pr710.v │ ├── pr718.v │ ├── pr721.v │ ├── pr722.v │ ├── pr729.v │ ├── pr734.v │ ├── pr735.v │ ├── pr748.v │ ├── pr751.v │ ├── pr757.v │ ├── pr772.v │ ├── pr809.v │ ├── pr809b.v │ ├── pr810.v │ ├── pr812.v │ ├── pr820.v │ ├── pr823.v │ ├── pr841.v │ ├── pr842.v │ ├── pr848.v │ ├── pr856.v │ ├── pr859.v │ ├── pr860.v │ ├── pr872.v │ ├── pr902.v │ ├── pr903.v │ ├── pr904.v │ ├── pr905.v │ ├── pr910.v │ ├── pr913.v │ ├── pr923.v │ ├── pr938.v │ ├── pr938b.v │ ├── pr938b_std.v │ ├── pr941.v │ ├── pr973.v │ ├── pr978.v │ ├── pr979.v │ ├── pr985.v │ ├── pr987.v │ ├── pr990.v │ ├── pr991.v │ ├── pr993.v │ ├── pr995.v │ ├── prng.v │ ├── program2.v │ ├── program2b.v │ ├── program3.v │ ├── program3a.v │ ├── program3b.v │ ├── program4.v │ ├── program5a.v │ ├── program5b.v │ ├── program_hello.v │ ├── program_hello2.v │ ├── ptest001.v │ ├── ptest002.v │ ├── ptest003.v │ ├── ptest004.v │ ├── ptest005.v │ ├── ptest006.v │ ├── ptest007.v │ ├── ptest008.v │ ├── ptest009.v │ ├── ptest010.v │ ├── ptest011.v │ ├── pull371.v │ ├── pull371b.v │ ├── pullupdown.v │ ├── pullupdown2.v │ ├── pullupdown3.v │ ├── pv_undef_sig_sel.v │ ├── pv_wr_fn_vec2.v │ ├── pv_wr_fn_vec4.v │ ├── pv_wr_vec2.v │ ├── pv_wr_vec2_nb.v │ ├── pv_wr_vec2_nb_ec.v │ ├── pv_wr_vec2a.v │ ├── pv_wr_vec2a_nb.v │ ├── pv_wr_vec2a_nb_ec.v │ ├── pv_wr_vec4.v │ ├── pv_wr_vec4_nb.v │ ├── pv_wr_vec4_nb_ec.v │ ├── pv_wr_vec4a.v │ ├── pv_wr_vec4a_nb.v │ ├── pv_wr_vec4a_nb_ec.v │ ├── qmark.v │ ├── qmark1.v │ ├── qmark3.v │ ├── qmark5.v │ ├── qmark6.v │ ├── queue.v │ ├── queue_fail.v │ ├── queue_stat.v │ ├── race.v │ ├── ram16x1.v │ ├── random.v │ ├── range1.v │ ├── range2.v │ ├── range3.v │ ├── readmem-error.txt │ ├── readmem-error.v │ ├── readmem-invalid.v │ ├── readmemb.txt │ ├── readmemb1.dat │ ├── readmemb1.v │ ├── readmemb2.dat │ ├── readmemb2.v │ ├── readmemb3.v │ ├── readmemh.txt │ ├── readmemh1.dat │ ├── readmemh1.v │ ├── readmemh1a.dat │ ├── readmemh1a.v │ ├── readmemh2.dat │ ├── readmemh2.v │ ├── readmemh3.v │ ├── readmemh4.dat │ ├── readmemh4.v │ ├── readmemh5.v │ ├── real.v │ ├── real10.v │ ├── real11.v │ ├── real2.v │ ├── real3.v │ ├── real4.v │ ├── real5.v │ ├── real6.v │ ├── real7.v │ ├── real8.v │ ├── real9.v │ ├── real_array.v │ ├── real_array_multi_dim.v │ ├── real_array_nb.v │ ├── real_array_store_after_cmp.v │ ├── real_assign_deassign.v │ ├── real_concat_invalid1.v │ ├── real_concat_invalid2.v │ ├── real_delay.sdf │ ├── real_delay.v │ ├── real_delay_lrg.sdf │ ├── real_delay_med.sdf │ ├── real_delay_sml.sdf │ ├── real_edges.v │ ├── real_events.v │ ├── real_force_rel.v │ ├── real_invalid_ops.v │ ├── real_logical.v │ ├── real_mod_in_ca.v │ ├── real_op_fail.v │ ├── real_pulse_clean.v │ ├── real_pwr_in_ca.v │ ├── real_reg_force_rel.v │ ├── real_select_invalid.v │ ├── real_wire_array.v │ ├── real_wire_force_rel.v │ ├── realtobits.v │ ├── recursive_func1.v │ ├── recursive_func2.v │ ├── recursive_func_const1.v │ ├── recursive_func_const2.v │ ├── recursive_task.v │ ├── redef_net_error.v │ ├── redef_reg_error.v │ ├── repeat1.v │ ├── repeat2.v │ ├── repeat_expr_probe.v │ ├── repl_zero_wid_fail.v │ ├── repl_zero_wid_pass.v │ ├── resetall.v │ ├── resetall2.v │ ├── resolv1.v │ ├── rise_fall_decay1.v │ ├── rise_fall_decay2.v │ ├── rise_fall_delay1.v │ ├── rise_fall_delay2.v │ ├── rise_fall_delay3.v │ ├── rl_pow.v │ ├── rnpmos.v │ ├── rnpmos2.v │ ├── rop.v │ ├── rptconcat.v │ ├── rptconcat2.v │ ├── rtran.v │ ├── rtranif0.v │ ├── rtranif1.v │ ├── sbyte_test.v │ ├── scalar_vector.v │ ├── scaled_real.v │ ├── scan-invalid.v │ ├── scanf.v │ ├── scanf2.v │ ├── scanf3.v │ ├── scanf4.v │ ├── sched1.v │ ├── sched2.v │ ├── schedule.v │ ├── scope1.v │ ├── scope2.v │ ├── scope2b.v │ ├── scope3.v │ ├── scope4.v │ ├── scope5.v │ ├── scoped_events.v │ ├── sdf1.sdf │ ├── sdf1.v │ ├── sdf2.sdf │ ├── sdf2.v │ ├── sdf3.sdf │ ├── sdf3.v │ ├── sdf4.sdf │ ├── sdf4.v │ ├── sdf5.sdf │ ├── sdf5.v │ ├── sdf6.sdf │ ├── sdf6.v │ ├── sdf7.sdf │ ├── sdf7.v │ ├── sdf8.sdf │ ├── sdf8.v │ ├── sdf_del.sdf │ ├── sdf_del_max.v │ ├── sdf_del_min.v │ ├── sdf_del_typ.v │ ├── sdf_esc_id.sdf │ ├── sdf_esc_id.v │ ├── sdf_header.sdf │ ├── sdf_header.v │ ├── sdf_interconnect1.sdf │ ├── sdf_interconnect1.v │ ├── sdf_interconnect2.sdf │ ├── sdf_interconnect2.v │ ├── sdf_interconnect3.sdf │ ├── sdf_interconnect3.v │ ├── sdf_interconnect4.sdf │ ├── sdf_interconnect4.v │ ├── sdw_always1.v │ ├── sdw_always2.v │ ├── sdw_always3.v │ ├── sdw_array.v │ ├── sdw_assign.v │ ├── sdw_dsbl.v │ ├── sdw_force.v │ ├── sdw_function1.v │ ├── sdw_function2.v │ ├── sdw_function3.v │ ├── sdw_function4.v │ ├── sdw_function5.v │ ├── sdw_instmod1.v │ ├── sdw_instmod2.v │ ├── sdw_int.v │ ├── sdw_lvalconcat.v │ ├── sdw_lvalconcat2.v │ ├── sdw_param1.v │ ├── sdw_param2.v │ ├── sdw_release.v │ ├── sdw_stmt002.v │ ├── sdw_task1.v │ ├── sdw_task2.v │ ├── sel_rval_bit_ob.v │ ├── sel_rval_part_ob.v │ ├── select.v │ ├── select2.v │ ├── select3.v │ ├── select4.v │ ├── select5.v │ ├── select6.v │ ├── select7.v │ ├── select8.v │ ├── select_padding.v │ ├── sf1289.v │ ├── sf_countbits.v │ ├── sf_countbits_fail.v │ ├── sf_countones.v │ ├── sf_countones_fail.v │ ├── sf_isunknown.v │ ├── sf_isunknown_fail.v │ ├── sf_onehot.v │ ├── sf_onehot0.v │ ├── sf_onehot0_fail.v │ ├── sf_onehot_fail.v │ ├── sformatf.v │ ├── shellho1.v │ ├── shift1.v │ ├── shift2.v │ ├── shift3.v │ ├── shift4.v │ ├── shift5.v │ ├── shift6.v │ ├── shift_pad.v │ ├── shiftl.v │ ├── signal_init_assign.vhd │ ├── signed1.v │ ├── signed10.v │ ├── signed11.v │ ├── signed12.v │ ├── signed13.v │ ├── signed2.v │ ├── signed3.v │ ├── signed4.v │ ├── signed5.v │ ├── signed6.v │ ├── signed7.v │ ├── signed8.v │ ├── signed9.v │ ├── signed_a.v │ ├── signed_equality.v │ ├── signed_net_display.v │ ├── signed_part.v │ ├── signed_pv.v │ ├── simparam.v │ ├── simple_byte.v │ ├── simple_int.v │ ├── simple_longint.v │ ├── simple_shortint.v │ ├── single_element_array.v │ ├── sint_test.v │ ├── size_cast.v │ ├── size_cast2.v │ ├── size_cast3.v │ ├── size_cast4.v │ ├── size_cast5.v │ ├── size_cast_fail1.v │ ├── size_cast_fail2.v │ ├── size_cast_fail3.v │ ├── slongint_test.v │ ├── sp2.v │ ├── specify1.v │ ├── specify2.v │ ├── specify3.v │ ├── specify4.v │ ├── specify5.v │ ├── specify_01.v │ ├── specparam1.v │ ├── specparam2.v │ ├── sqrt32.v │ ├── sqrt32synth.v │ ├── sscanf_u.v │ ├── sscanf_z.v │ ├── ssetclr1.v │ ├── ssetclr2.v │ ├── ssetclr3.v │ ├── sshortint_test.v │ ├── stask_parm1.v │ ├── stask_parm2.v │ ├── stask_sens_null_arg.v │ ├── stime.v │ ├── string1.v │ ├── string10.v │ ├── string11.v │ ├── string12.v │ ├── string13.v │ ├── string14.v │ ├── string2.v │ ├── string3.v │ ├── string4.v │ ├── string5.v │ ├── string7.v │ ├── string8.v │ ├── string9.v │ ├── string_events.v │ ├── string_index.v │ ├── struct1.v │ ├── struct10.v │ ├── struct2.v │ ├── struct3.v │ ├── struct3b.v │ ├── struct4.v │ ├── struct5.v │ ├── struct6.v │ ├── struct7.v │ ├── struct8.v │ ├── struct9.v │ ├── struct_enum_partsel.v │ ├── struct_field_left_right.v │ ├── struct_invalid_member.v │ ├── struct_line_info.v │ ├── struct_member_signed.v │ ├── struct_nested1.v │ ├── struct_packed_array.v │ ├── struct_packed_array2.v │ ├── struct_packed_darray_fail.v │ ├── struct_packed_member_def.v │ ├── struct_packed_queue_fail.v │ ├── struct_packed_sysfunct.v │ ├── struct_packed_sysfunct2.v │ ├── struct_packed_uarray_fail.v │ ├── struct_packed_value_list.v │ ├── struct_packed_write_read.v │ ├── struct_packed_write_read2.v │ ├── struct_signed.v │ ├── supply1.v │ ├── supply2.v │ ├── sv-2val-nets.v │ ├── sv-constants.v │ ├── sv_2state_array_init_prop.v │ ├── sv_ap_parray1.v │ ├── sv_ap_parray2.v │ ├── sv_ap_parray3.v │ ├── sv_ap_parray4.v │ ├── sv_ap_parray_fail1.v │ ├── sv_ap_parray_fail2.v │ ├── sv_ap_parray_fail3.v │ ├── sv_ap_struct1.v │ ├── sv_ap_struct2.v │ ├── sv_ap_struct3.v │ ├── sv_ap_struct4.v │ ├── sv_ap_struct_fail1.v │ ├── sv_ap_struct_fail2.v │ ├── sv_ap_uarray1.v │ ├── sv_ap_uarray2.v │ ├── sv_ap_uarray3.v │ ├── sv_ap_uarray4.v │ ├── sv_ap_uarray5.v │ ├── sv_ap_uarray6.v │ ├── sv_ap_uarray_fail1.v │ ├── sv_ap_uarray_fail2.v │ ├── sv_argumentless_func.v │ ├── sv_array_assign_fail1.v │ ├── sv_array_assign_fail2.v │ ├── sv_array_assign_pattern2.v │ ├── sv_array_cassign1.v │ ├── sv_array_cassign2.v │ ├── sv_array_cassign3.v │ ├── sv_array_cassign4.v │ ├── sv_array_cassign5.v │ ├── sv_array_cassign6.v │ ├── sv_array_cassign7.v │ ├── sv_array_cassign8.v │ ├── sv_array_cassign_fail1.v │ ├── sv_array_cassign_fail10.v │ ├── sv_array_cassign_fail11.v │ ├── sv_array_cassign_fail2.v │ ├── sv_array_cassign_fail3.v │ ├── sv_array_cassign_fail4.v │ ├── sv_array_cassign_fail5.v │ ├── sv_array_cassign_fail6.v │ ├── sv_array_cassign_fail7.v │ ├── sv_array_cassign_fail8.v │ ├── sv_array_cassign_fail9.v │ ├── sv_array_query.v │ ├── sv_assign_pattern_cast.v │ ├── sv_assign_pattern_concat.v │ ├── sv_assign_pattern_const.v │ ├── sv_assign_pattern_expand.v │ ├── sv_assign_pattern_func.v │ ├── sv_assign_pattern_op.v │ ├── sv_assign_pattern_part.v │ ├── sv_automatic_2state.v │ ├── sv_cast_darray.v │ ├── sv_cast_integer.v │ ├── sv_cast_integer2.v │ ├── sv_cast_packed_array.v │ ├── sv_cast_packed_struct.v │ ├── sv_cast_string.v │ ├── sv_cast_typedef.v │ ├── sv_chained_constructor1.v │ ├── sv_chained_constructor2.v │ ├── sv_chained_constructor3.v │ ├── sv_chained_constructor4.v │ ├── sv_chained_constructor5.v │ ├── sv_class1.v │ ├── sv_class10.v │ ├── sv_class11.v │ ├── sv_class12.v │ ├── sv_class13.v │ ├── sv_class14.v │ ├── sv_class15.v │ ├── sv_class16.v │ ├── sv_class17.v │ ├── sv_class18.v │ ├── sv_class19.v │ ├── sv_class2.v │ ├── sv_class20.v │ ├── sv_class21.v │ ├── sv_class22.v │ ├── sv_class23.v │ ├── sv_class24.v │ ├── sv_class3.v │ ├── sv_class4.v │ ├── sv_class5.v │ ├── sv_class6.v │ ├── sv_class7.v │ ├── sv_class8.v │ ├── sv_class9.v │ ├── sv_class_compat1.v │ ├── sv_class_compat2.v │ ├── sv_class_compat_fail1.v │ ├── sv_class_compat_fail2.v │ ├── sv_class_compat_fail3.v │ ├── sv_class_constructor1.v │ ├── sv_class_constructor_fail.v │ ├── sv_class_empty_item.v │ ├── sv_class_extends_scoped.v │ ├── sv_class_in_module_decl.v │ ├── sv_class_localparam.v │ ├── sv_class_method_call_void.v │ ├── sv_class_method_default1.v │ ├── sv_class_method_default2.v │ ├── sv_class_method_lt_static1.v │ ├── sv_class_method_lt_static2.v │ ├── sv_class_method_signed1.v │ ├── sv_class_method_signed2.v │ ├── sv_class_method_var_init.v │ ├── sv_class_new_fail1.v │ ├── sv_class_new_fail2.v │ ├── sv_class_new_init.v │ ├── sv_class_new_typed1.v │ ├── sv_class_new_typed2.v │ ├── sv_class_new_typed3.v │ ├── sv_class_new_typed_fail1.v │ ├── sv_class_new_typed_fail2.v │ ├── sv_class_new_typed_fail3.v │ ├── sv_class_new_typed_fail4.v │ ├── sv_class_prop_assign_op1.v │ ├── sv_class_prop_assign_op2.v │ ├── sv_class_prop_logic.v │ ├── sv_class_prop_nest_darray1.v │ ├── sv_class_prop_nest_obj1.v │ ├── sv_class_prop_nest_real1.v │ ├── sv_class_prop_nest_str1.v │ ├── sv_class_prop_nest_vec1.v │ ├── sv_class_prop_shadow1.v │ ├── sv_class_prop_shadow2.v │ ├── sv_class_property_signed1.v │ ├── sv_class_property_signed2.v │ ├── sv_class_property_signed3.v │ ├── sv_class_property_signed4.v │ ├── sv_class_return.v │ ├── sv_class_static_prop1.v │ ├── sv_class_static_prop2.v │ ├── sv_class_static_prop3.v │ ├── sv_class_static_prop4.v │ ├── sv_class_super1.v │ ├── sv_class_super2.v │ ├── sv_class_super3.v │ ├── sv_class_super4.v │ ├── sv_class_super5.v │ ├── sv_class_super6.v │ ├── sv_class_task1.v │ ├── sv_class_virt_new_fail.v │ ├── sv_const1.v │ ├── sv_const2.v │ ├── sv_const3.v │ ├── sv_const4.v │ ├── sv_const5.v │ ├── sv_const_fail1.v │ ├── sv_const_fail2.v │ ├── sv_const_fail3.v │ ├── sv_const_fail4.v │ ├── sv_const_fail5.v │ ├── sv_const_fail6.v │ ├── sv_const_fail7.v │ ├── sv_const_fail8.v │ ├── sv_const_fail9.v │ ├── sv_darray1.v │ ├── sv_darray2.v │ ├── sv_darray3.v │ ├── sv_darray4.v │ ├── sv_darray5.v │ ├── sv_darray5b.v │ ├── sv_darray6.v │ ├── sv_darray7.v │ ├── sv_darray_args1.v │ ├── sv_darray_args2.v │ ├── sv_darray_args2b.v │ ├── sv_darray_args3.v │ ├── sv_darray_args4.v │ ├── sv_darray_assign1.v │ ├── sv_darray_assign2.v │ ├── sv_darray_assign_fail1.v │ ├── sv_darray_assign_fail2.v │ ├── sv_darray_assign_fail3.v │ ├── sv_darray_assign_fail4.v │ ├── sv_darray_assign_fail5.v │ ├── sv_darray_assign_fail6.v │ ├── sv_darray_assign_op.v │ ├── sv_darray_copy_empty1.v │ ├── sv_darray_copy_empty2.v │ ├── sv_darray_copy_empty3.v │ ├── sv_darray_copy_empty4.v │ ├── sv_darray_decl_assign.v │ ├── sv_darray_function.v │ ├── sv_darray_nest1.v │ ├── sv_darray_nest2.v │ ├── sv_darray_nest3.v │ ├── sv_darray_nest4.v │ ├── sv_darray_oob_real.v │ ├── sv_darray_oob_string.v │ ├── sv_darray_oob_vec2.v │ ├── sv_darray_oob_vec4.v │ ├── sv_darray_signed.v │ ├── sv_darray_word_size.v │ ├── sv_default_port_value1.v │ ├── sv_default_port_value2.v │ ├── sv_default_port_value3.v │ ├── sv_deferred_assert1.v │ ├── sv_deferred_assert2.v │ ├── sv_deferred_assume1.v │ ├── sv_deferred_assume2.v │ ├── sv_end_label.v │ ├── sv_end_label_fail.v │ ├── sv_end_labels.v │ ├── sv_end_labels_bad.v │ ├── sv_end_labels_unnamed.v │ ├── sv_enum1.v │ ├── sv_export1.v │ ├── sv_export2.v │ ├── sv_export3.v │ ├── sv_export4.v │ ├── sv_export5.v │ ├── sv_export6.v │ ├── sv_export_fail1.v │ ├── sv_export_fail2.v │ ├── sv_export_fail3.v │ ├── sv_export_fail4.v │ ├── sv_export_fail5.v │ ├── sv_export_fail6.v │ ├── sv_for_variable.v │ ├── sv_foreach1.v │ ├── sv_foreach10.v │ ├── sv_foreach2.v │ ├── sv_foreach3.v │ ├── sv_foreach4.v │ ├── sv_foreach5.v │ ├── sv_foreach6.v │ ├── sv_foreach7.v │ ├── sv_foreach8.v │ ├── sv_foreach9.v │ ├── sv_foreach_fail1.v │ ├── sv_immediate_assert.v │ ├── sv_immediate_assume.v │ ├── sv_import_hier_fail1.v │ ├── sv_import_hier_fail2.v │ ├── sv_import_hier_fail3.v │ ├── sv_interface.v │ ├── sv_literals.v │ ├── sv_macro.v │ ├── sv_macro2.v │ ├── sv_macro3a.v │ ├── sv_macro3b.v │ ├── sv_mixed_assign1.v │ ├── sv_mixed_assign2.v │ ├── sv_mixed_assign_error1.v │ ├── sv_mixed_assign_error2.v │ ├── sv_mixed_assign_error3.v │ ├── sv_mixed_assign_error4.v │ ├── sv_module_port1.v │ ├── sv_module_port2.v │ ├── sv_module_port3.v │ ├── sv_module_port4.v │ ├── sv_named_arg_base1.v │ ├── sv_named_arg_base2.v │ ├── sv_named_arg_base3.v │ ├── sv_named_arg_base_fail1.v │ ├── sv_named_arg_base_fail2.v │ ├── sv_named_arg_base_fail3.v │ ├── sv_named_arg_base_fail4.v │ ├── sv_named_arg_base_fail5.v │ ├── sv_named_arg_chained1.v │ ├── sv_named_arg_chained2.v │ ├── sv_named_arg_chained3.v │ ├── sv_named_arg_chained_fail1.v │ ├── sv_named_arg_chained_fail2.v │ ├── sv_named_arg_chained_fail3.v │ ├── sv_named_arg_chained_fail4.v │ ├── sv_named_arg_chained_fail5.v │ ├── sv_named_arg_func1.v │ ├── sv_named_arg_func2.v │ ├── sv_named_arg_func3.v │ ├── sv_named_arg_func_fail1.v │ ├── sv_named_arg_func_fail2.v │ ├── sv_named_arg_func_fail3.v │ ├── sv_named_arg_func_fail4.v │ ├── sv_named_arg_func_fail5.v │ ├── sv_named_arg_new1.v │ ├── sv_named_arg_new2.v │ ├── sv_named_arg_new3.v │ ├── sv_named_arg_new_fail1.v │ ├── sv_named_arg_new_fail2.v │ ├── sv_named_arg_new_fail3.v │ ├── sv_named_arg_new_fail4.v │ ├── sv_named_arg_new_fail5.v │ ├── sv_named_arg_task1.v │ ├── sv_named_arg_task2.v │ ├── sv_named_arg_task3.v │ ├── sv_named_arg_task_fail1.v │ ├── sv_named_arg_task_fail2.v │ ├── sv_named_arg_task_fail3.v │ ├── sv_named_arg_task_fail4.v │ ├── sv_named_arg_task_fail5.v │ ├── sv_new_array_error.v │ ├── sv_package.v │ ├── sv_package2.v │ ├── sv_package3.v │ ├── sv_package4.v │ ├── sv_package5.v │ ├── sv_package_implicit_var1.v │ ├── sv_package_implicit_var2.v │ ├── sv_packed_port1.v │ ├── sv_packed_port2.v │ ├── sv_param_port_list.v │ ├── sv_parameter_type.v │ ├── sv_pkg_class.v │ ├── sv_port_default1.v │ ├── sv_port_default10.v │ ├── sv_port_default11.v │ ├── sv_port_default12.v │ ├── sv_port_default13.v │ ├── sv_port_default14.v │ ├── sv_port_default2.v │ ├── sv_port_default3.v │ ├── sv_port_default4.v │ ├── sv_port_default5.v │ ├── sv_port_default6.v │ ├── sv_port_default7.v │ ├── sv_port_default8.v │ ├── sv_port_default9.v │ ├── sv_ps_array_cassign.v │ ├── sv_ps_function1.v │ ├── sv_ps_function2.v │ ├── sv_ps_function3.v │ ├── sv_ps_function4.v │ ├── sv_ps_function5.v │ ├── sv_ps_function6.v │ ├── sv_ps_function7.v │ ├── sv_ps_function_fail1.v │ ├── sv_ps_function_fail2.v │ ├── sv_ps_function_fail3.v │ ├── sv_ps_hier_fail1.v │ ├── sv_ps_hier_fail2.v │ ├── sv_ps_member_sel1.v │ ├── sv_ps_member_sel2.v │ ├── sv_ps_member_sel3.v │ ├── sv_ps_method1.v │ ├── sv_ps_method2.v │ ├── sv_ps_method3.v │ ├── sv_ps_method4.v │ ├── sv_ps_type1.v │ ├── sv_ps_type_cast1.v │ ├── sv_ps_type_cast2.v │ ├── sv_ps_type_class1.v │ ├── sv_ps_type_class_prop.v │ ├── sv_ps_type_enum1.v │ ├── sv_ps_type_expr1.v │ ├── sv_ps_type_expr2.v │ ├── sv_ps_type_struct1.v │ ├── sv_ps_var1.v │ ├── sv_queue1.v │ ├── sv_queue2.v │ ├── sv_queue3.v │ ├── sv_queue_assign1.v │ ├── sv_queue_assign2.v │ ├── sv_queue_assign_fail1.v │ ├── sv_queue_assign_fail2.v │ ├── sv_queue_assign_fail3.v │ ├── sv_queue_assign_fail4.v │ ├── sv_queue_assign_fail5.v │ ├── sv_queue_assign_fail6.v │ ├── sv_queue_assign_op.v │ ├── sv_queue_copy_empty1.v │ ├── sv_queue_copy_empty2.v │ ├── sv_queue_function1.v │ ├── sv_queue_function2.v │ ├── sv_queue_method_signed1.v │ ├── sv_queue_method_signed2.v │ ├── sv_queue_method_signed3.v │ ├── sv_queue_method_signed4.v │ ├── sv_queue_nest1.v │ ├── sv_queue_nest2.v │ ├── sv_queue_nest3.v │ ├── sv_queue_nest4.v │ ├── sv_queue_oob_real.v │ ├── sv_queue_oob_string.v │ ├── sv_queue_oob_vec2.v │ ├── sv_queue_oob_vec4.v │ ├── sv_queue_parray.v │ ├── sv_queue_parray_bounded.v │ ├── sv_queue_parray_fail.v │ ├── sv_queue_real.v │ ├── sv_queue_real_bounded.v │ ├── sv_queue_real_fail.v │ ├── sv_queue_string.v │ ├── sv_queue_string_bounded.v │ ├── sv_queue_string_fail.v │ ├── sv_queue_vec.v │ ├── sv_queue_vec_bounded.v │ ├── sv_queue_vec_fail.v │ ├── sv_root_class.v │ ├── sv_root_func.v │ ├── sv_root_task.v │ ├── sv_sign_cast1.v │ ├── sv_sign_cast2.v │ ├── sv_sign_cast3.v │ ├── sv_string1.v │ ├── sv_string2.v │ ├── sv_string3.v │ ├── sv_string4.v │ ├── sv_string5.v │ ├── sv_string6.v │ ├── sv_string7.v │ ├── sv_string7b.v │ ├── sv_string8.v │ ├── sv_string9.v │ ├── sv_timeunit_prec1.v │ ├── sv_timeunit_prec2.v │ ├── sv_timeunit_prec3a.v │ ├── sv_timeunit_prec3b.v │ ├── sv_timeunit_prec3c.v │ ├── sv_timeunit_prec3d.v │ ├── sv_timeunit_prec4a.v │ ├── sv_timeunit_prec4b.v │ ├── sv_timeunit_prec_fail1.v │ ├── sv_timeunit_prec_fail1a.v │ ├── sv_timeunit_prec_fail1b.v │ ├── sv_timeunit_prec_fail1c.v │ ├── sv_timeunit_prec_fail1d.v │ ├── sv_timeunit_prec_fail1e.v │ ├── sv_timeunit_prec_fail2.v │ ├── sv_timeunit_prec_fail2a.v │ ├── sv_timeunit_prec_fail2b.v │ ├── sv_timeunit_prec_fail2c.v │ ├── sv_type_param1.v │ ├── sv_type_param2.v │ ├── sv_type_param3.v │ ├── sv_type_param4.v │ ├── sv_type_param5.v │ ├── sv_type_param6.v │ ├── sv_type_param7.v │ ├── sv_type_param_fail1.v │ ├── sv_type_param_fail2.v │ ├── sv_typedef_array_base1.v │ ├── sv_typedef_array_base2.v │ ├── sv_typedef_array_base3.v │ ├── sv_typedef_array_base4.v │ ├── sv_typedef_chained.v │ ├── sv_typedef_circular1.v │ ├── sv_typedef_circular2.v │ ├── sv_typedef_darray_base1.v │ ├── sv_typedef_darray_base2.v │ ├── sv_typedef_darray_base3.v │ ├── sv_typedef_darray_base4.v │ ├── sv_typedef_fwd_base.v │ ├── sv_typedef_fwd_class.v │ ├── sv_typedef_fwd_class2.v │ ├── sv_typedef_fwd_enum1.v │ ├── sv_typedef_fwd_enum2.v │ ├── sv_typedef_fwd_enum3.v │ ├── sv_typedef_fwd_enum_fail.v │ ├── sv_typedef_fwd_struct.v │ ├── sv_typedef_fwd_struct_fail.v │ ├── sv_typedef_fwd_union.v │ ├── sv_typedef_fwd_union_fail.v │ ├── sv_typedef_nested_array.v │ ├── sv_typedef_queue_base1.v │ ├── sv_typedef_queue_base2.v │ ├── sv_typedef_queue_base3.v │ ├── sv_typedef_queue_base4.v │ ├── sv_typedef_scope1.v │ ├── sv_typedef_scope2.v │ ├── sv_typedef_scope3.v │ ├── sv_union1.v │ ├── sv_union1b.v │ ├── sv_union2.v │ ├── sv_union2b.v │ ├── sv_union3.v │ ├── sv_union3b.v │ ├── sv_union4b.v │ ├── sv_unit1a.v │ ├── sv_unit1b.v │ ├── sv_unit1c.v │ ├── sv_unit2a.v │ ├── sv_unit2b.v │ ├── sv_unit3a.v │ ├── sv_unit3b.v │ ├── sv_unit4a.v │ ├── sv_unit4b.v │ ├── sv_unpacked_port.v │ ├── sv_unpacked_port2.v │ ├── sv_unpacked_wire.v │ ├── sv_unpacked_wire2.v │ ├── sv_uwire1.v │ ├── sv_uwire2.v │ ├── sv_uwire3.v │ ├── sv_uwire4.v │ ├── sv_var_block.v │ ├── sv_var_for.v │ ├── sv_var_for_fail.v │ ├── sv_var_function.v │ ├── sv_var_init1.v │ ├── sv_var_init2.v │ ├── sv_var_module.v │ ├── sv_var_module_inout1.v │ ├── sv_var_module_inout2.v │ ├── sv_var_module_input1.v │ ├── sv_var_module_input2.v │ ├── sv_var_module_output1.v │ ├── sv_var_module_output2.v │ ├── sv_var_package.v │ ├── sv_var_task.v │ ├── sv_void_cast1.v │ ├── sv_void_cast2.v │ ├── sv_void_cast3.v │ ├── sv_void_cast4.v │ ├── sv_void_cast_fail1.v │ ├── sv_void_cast_fail2.v │ ├── sv_void_cast_fail3.v │ ├── sv_wildcard_import1.v │ ├── sv_wildcard_import2.v │ ├── sv_wildcard_import3.v │ ├── sv_wildcard_import4.v │ ├── sv_wildcard_import5.v │ ├── sv_wildcard_import6.v │ ├── sv_wildcard_import7.v │ ├── sv_wildcard_import8.v │ ├── switch_primitives.v │ ├── swrite.v │ ├── synth_if_no_else.v │ ├── sys_func_as_task.v │ ├── sys_func_task_error.v │ ├── sysargs.v │ ├── system.vhd │ ├── talu.v │ ├── task-scope.v │ ├── task3.14A.v │ ├── task3.14B.v │ ├── task3.14C.v │ ├── task3.14D.v │ ├── task3.14E.v │ ├── task3.14F.v │ ├── task_bypath.v │ ├── task_in_expr_fail.v │ ├── task_init_assign.v │ ├── task_init_var1.v │ ├── task_init_var2.v │ ├── task_init_var3.v │ ├── task_inpad.v │ ├── task_iotypes.v │ ├── task_iotypes2.v │ ├── task_mem.v │ ├── task_nonansi_atom2_fail.v │ ├── task_nonansi_enum1.v │ ├── task_nonansi_enum2.v │ ├── task_nonansi_enum_fail.v │ ├── task_nonansi_fail1.v │ ├── task_nonansi_fail10.v │ ├── task_nonansi_fail11.v │ ├── task_nonansi_fail2.v │ ├── task_nonansi_fail3.v │ ├── task_nonansi_fail4.v │ ├── task_nonansi_fail5.v │ ├── task_nonansi_fail6.v │ ├── task_nonansi_fail7.v │ ├── task_nonansi_fail8.v │ ├── task_nonansi_fail9.v │ ├── task_nonansi_int1.v │ ├── task_nonansi_int2.v │ ├── task_nonansi_integer1.v │ ├── task_nonansi_integer2.v │ ├── task_nonansi_integer_fail.v │ ├── task_nonansi_parray1.v │ ├── task_nonansi_parray2.v │ ├── task_nonansi_parray_fail.v │ ├── task_nonansi_real1.v │ ├── task_nonansi_real2.v │ ├── task_nonansi_real_fail.v │ ├── task_nonansi_string1.v │ ├── task_nonansi_string2.v │ ├── task_nonansi_struct1.v │ ├── task_nonansi_struct2.v │ ├── task_nonansi_struct_fail.v │ ├── task_nonansi_time1.v │ ├── task_nonansi_time2.v │ ├── task_nonansi_time_fail.v │ ├── task_nonansi_vec1.v │ ├── task_nonansi_vec2.v │ ├── task_nonansi_vec_fail1.v │ ├── task_nonansi_vec_fail2.v │ ├── task_nonansi_vec_fail3.v │ ├── task_noop.v │ ├── task_noop2.v │ ├── task_omemw.v │ ├── task_omemw2.v │ ├── task_omemw3.v │ ├── task_port_range_mismatch.v │ ├── task_port_size.v │ ├── task_port_types1.v │ ├── task_port_types2.v │ ├── task_return1.v │ ├── task_return2.v │ ├── task_return_fail1.v │ ├── task_return_fail2.v │ ├── task_scope.v │ ├── task_scope2.v │ ├── tern1.v │ ├── tern10.v │ ├── tern2.v │ ├── tern3.v │ ├── tern4.v │ ├── tern5.v │ ├── tern6.v │ ├── tern7.v │ ├── tern8.v │ ├── tern9.v │ ├── test_bufif0.v │ ├── test_bufif1.v │ ├── test_dec2to4.v │ ├── test_disphob.v │ ├── test_dispwided.v │ ├── test_enumsystem.v │ ├── test_extended.v │ ├── test_forgen.v │ ├── test_gxor.v │ ├── test_inc_dec.v │ ├── test_mos_strength_reduction.v │ ├── test_mux2to1.v │ ├── test_nmos.v │ ├── test_notif0.v │ ├── test_notif1.v │ ├── test_pmos.v │ ├── test_rnmos.v │ ├── test_rpmos.v │ ├── test_signal_init_assign.v │ ├── test_system.v │ ├── test_timebase.v │ ├── test_tliteral.v │ ├── test_va_math.v │ ├── test_vams_math.v │ ├── test_varray1.v │ ├── test_when_else.v │ ├── test_width.v │ ├── test_work14.v │ ├── time1.v │ ├── time2.v │ ├── time3.v │ ├── time4.v │ ├── time5.v │ ├── time6.v │ ├── time6b.v │ ├── time6c.v │ ├── time7.v │ ├── time8.v │ ├── timebase.vhd │ ├── timeform1.v │ ├── timeform2.v │ ├── timeliteral.v │ ├── timescale1.v │ ├── timescale2.v │ ├── timescale3.v │ ├── timing_check_delayed_signals.v │ ├── timing_check_syntax.v │ ├── tran-keeper.v │ ├── tran.v │ ├── tranif0.v │ ├── tranif1.v │ ├── tri0.v │ ├── tri0b.v │ ├── tri1.v │ ├── tri2.v │ ├── tri3.v │ ├── triand.v │ ├── trior.v │ ├── two_state_display.v │ ├── types1.v │ ├── ubyte_test.v │ ├── udp_bufg.v │ ├── udp_bufg2.v │ ├── udp_bx.v │ ├── udp_delay_fail.v │ ├── udp_dff.v │ ├── udp_dff_std.v │ ├── udp_eval_arg.v │ ├── udp_jkff.v │ ├── udp_lfsr.v │ ├── udp_output_reg.v │ ├── udp_prop.v │ ├── udp_real_delay.v │ ├── udp_sched.v │ ├── udp_x.v │ ├── ufuncsynth1.v │ ├── uint_test.v │ ├── ulongint_test.v │ ├── unary_and.v │ ├── unary_lnot1.v │ ├── unary_lnot2.v │ ├── unary_lnot3.v │ ├── unary_minus.v │ ├── unary_minus1.v │ ├── unary_minus2.v │ ├── unary_minus3.v │ ├── unary_minus4.v │ ├── unary_nand.v │ ├── unary_nand2.v │ ├── unary_nor.v │ ├── unary_nor2.v │ ├── unary_not.v │ ├── unary_or.v │ ├── unary_xnor1.v │ ├── unary_xnor2.v │ ├── unary_xor.v │ ├── uncon_drive.v │ ├── undef.v │ ├── undef_lval_select.v │ ├── undef_lval_select2.v │ ├── undef_lval_select3a.v │ ├── undef_lval_select3b.v │ ├── undef_lval_select3c.v │ ├── undef_lval_select4a.v │ ├── undef_lval_select4b.v │ ├── undef_lval_select4c.v │ ├── undef_lval_select5.v │ ├── undef_lval_select_SV.v │ ├── undefined_shift.v │ ├── union_packed_darray_fail.v │ ├── union_packed_queue_fail.v │ ├── union_packed_uarray_fail.v │ ├── unnamed_block_var_decl.v │ ├── unnamed_fork_var_decl.v │ ├── unnamed_generate_block.v │ ├── unp_array_typedef.v │ ├── urand.v │ ├── urand_r.v │ ├── urand_r2.v │ ├── urand_r3.v │ ├── ushortint_test.v │ ├── uwire.v │ ├── uwire2.v │ ├── uwire_fail.v │ ├── uwire_fail2.v │ ├── uwire_fail3.v │ ├── uwire_fail4.v │ ├── v2005_math.v │ ├── va_math.v │ ├── value_range1.v │ ├── value_range2.v │ ├── value_range3.v │ ├── vams_abs1.v │ ├── vams_abs2.v │ ├── vams_abs3.v │ ├── vardly.v │ ├── vardly_undefined_vec.v │ ├── varlsfht.v │ ├── varlsfht1.v │ ├── varlsfht2.v │ ├── varlshft.v │ ├── varlshft1.v │ ├── varray1.vhd │ ├── varrshft.v │ ├── varrshft1.v │ ├── varrshft2.v │ ├── vcd-dup.v │ ├── vcd1.v │ ├── vector.v │ ├── verify_two_var_delays.v │ ├── vhdl_and104_stdlogic.v │ ├── vhdl_and104_stdlogic.vhd │ ├── vhdl_and23_bit.v │ ├── vhdl_and23_bit.vhd │ ├── vhdl_and_gate.v │ ├── vhdl_and_gate.vhd │ ├── vhdl_andg_bit.v │ ├── vhdl_andg_bit.vhd │ ├── vhdl_andg_stdlogic.v │ ├── vhdl_andg_stdlogic.vhd │ ├── vhdl_array_of_array.v │ ├── vhdl_array_of_array.vhd │ ├── vhdl_boolean.v │ ├── vhdl_boolean.vhd │ ├── vhdl_case_multi.v │ ├── vhdl_case_multi.vhd │ ├── vhdl_concat.v │ ├── vhdl_concat.vhd │ ├── vhdl_concat_func.v │ ├── vhdl_concat_func.vhd │ ├── vhdl_concurrent_assert.v │ ├── vhdl_concurrent_assert.vhd │ ├── vhdl_const_array.v │ ├── vhdl_const_array.vhd │ ├── vhdl_const_array_pkg.vhd │ ├── vhdl_const_package.v │ ├── vhdl_const_package.vhd │ ├── vhdl_const_package_pkg.vhd │ ├── vhdl_const_record.v │ ├── vhdl_const_record.vhd │ ├── vhdl_delay_assign.v │ ├── vhdl_delay_assign.vhd │ ├── vhdl_elab_range.v │ ├── vhdl_elab_range.vhd │ ├── vhdl_eval_cond.v │ ├── vhdl_eval_cond.vhd │ ├── vhdl_expr1.v │ ├── vhdl_expr1.vhd │ ├── vhdl_fa4_test1.v │ ├── vhdl_fa4_test1.vhd │ ├── vhdl_fa4_test2.v │ ├── vhdl_fa4_test2.vhd │ ├── vhdl_fa4_test3.v │ ├── vhdl_fa4_test3.vhd │ ├── vhdl_fa4_test4.v │ ├── vhdl_fa4_test4.vhd │ ├── vhdl_file_open.v │ ├── vhdl_file_open.vhd │ ├── vhdl_generic_default.v │ ├── vhdl_generic_default.vhd │ ├── vhdl_generic_eval.v │ ├── vhdl_generic_eval.vhd │ ├── vhdl_image_attr.v │ ├── vhdl_image_attr.vhd │ ├── vhdl_init.v │ ├── vhdl_init.vhd │ ├── vhdl_inout.v │ ├── vhdl_inout.vhd │ ├── vhdl_labeled_assign.v │ ├── vhdl_labeled_assign.vhd │ ├── vhdl_lfcr.v │ ├── vhdl_lfcr.vhd │ ├── vhdl_logic.v │ ├── vhdl_logic.vhd │ ├── vhdl_loop.v │ ├── vhdl_loop.vhd │ ├── vhdl_multidim_array.v │ ├── vhdl_multidim_array.vhd │ ├── vhdl_nand104_stdlogic.v │ ├── vhdl_nand104_stdlogic.vhd │ ├── vhdl_nand23_bit.v │ ├── vhdl_nand23_bit.vhd │ ├── vhdl_nandg_bit.v │ ├── vhdl_nandg_bit.vhd │ ├── vhdl_nandg_stdlogic.v │ ├── vhdl_nandg_stdlogic.vhd │ ├── vhdl_nor104_stdlogic.v │ ├── vhdl_nor104_stdlogic.vhd │ ├── vhdl_nor23_bit.v │ ├── vhdl_nor23_bit.vhd │ ├── vhdl_norg_bit.v │ ├── vhdl_norg_bit.vhd │ ├── vhdl_norg_stdlogic.v │ ├── vhdl_norg_stdlogic.vhd │ ├── vhdl_not104_stdlogic.v │ ├── vhdl_not104_stdlogic.vhd │ ├── vhdl_not23_bit.v │ ├── vhdl_not23_bit.vhd │ ├── vhdl_notfunc_stdlogic.v │ ├── vhdl_notfunc_stdlogic.vhd │ ├── vhdl_notg_bit.v │ ├── vhdl_notg_bit.vhd │ ├── vhdl_notg_stdlogic.v │ ├── vhdl_notg_stdlogic.vhd │ ├── vhdl_now.v │ ├── vhdl_now.vhd │ ├── vhdl_or104_stdlogic.v │ ├── vhdl_or104_stdlogic.vhd │ ├── vhdl_or23_bit.v │ ├── vhdl_or23_bit.vhd │ ├── vhdl_org_bit.v │ ├── vhdl_org_bit.vhd │ ├── vhdl_org_stdlogic.v │ ├── vhdl_org_stdlogic.vhd │ ├── vhdl_pow_rem.v │ ├── vhdl_pow_rem.vhd │ ├── vhdl_prefix_array.v │ ├── vhdl_prefix_array.vhd │ ├── vhdl_procedure.v │ ├── vhdl_procedure.vhd │ ├── vhdl_process_scope.v │ ├── vhdl_process_scope.vhd │ ├── vhdl_rand23_bit.v │ ├── vhdl_rand23_bit.vhd │ ├── vhdl_range.v │ ├── vhdl_range.vhd │ ├── vhdl_range_func.v │ ├── vhdl_range_func.vhd │ ├── vhdl_range_func_pkg.vhd │ ├── vhdl_range_pkg.vhd │ ├── vhdl_real.v │ ├── vhdl_real.vhd │ ├── vhdl_record_elab.v │ ├── vhdl_record_elab.vhd │ ├── vhdl_reduce.v │ ├── vhdl_reduce.vhd │ ├── vhdl_report.v │ ├── vhdl_report.vhd │ ├── vhdl_report_pkg.vhd │ ├── vhdl_resize.v │ ├── vhdl_resize.vhd │ ├── vhdl_rtoi.v │ ├── vhdl_rtoi.vhd │ ├── vhdl_sa1_test1.v │ ├── vhdl_sa1_test1.vhd │ ├── vhdl_sa1_test2.v │ ├── vhdl_sa1_test2.vhd │ ├── vhdl_sa1_test3.v │ ├── vhdl_sa1_test3.vhd │ ├── vhdl_sadd23_bit.v │ ├── vhdl_sadd23_bit.vhd │ ├── vhdl_sadd23_stdlogic.v │ ├── vhdl_sadd23_stdlogic.vhd │ ├── vhdl_sdiv23_bit.v │ ├── vhdl_sdiv23_bit.vhd │ ├── vhdl_sdiv23_stdlogic.v │ ├── vhdl_sdiv23_stdlogic.vhd │ ├── vhdl_selected.v │ ├── vhdl_selected.vhd │ ├── vhdl_shift.v │ ├── vhdl_shift.vhd │ ├── vhdl_signals.v │ ├── vhdl_signals.vhd │ ├── vhdl_smul23_bit.v │ ├── vhdl_smul23_bit.vhd │ ├── vhdl_smul23_stdlogic.v │ ├── vhdl_smul23_stdlogic.vhd │ ├── vhdl_ssub23_bit.v │ ├── vhdl_ssub23_bit.vhd │ ├── vhdl_ssub23_stdlogic.v │ ├── vhdl_ssub23_stdlogic.vhd │ ├── vhdl_string.v │ ├── vhdl_string.vhd │ ├── vhdl_string_lim.v │ ├── vhdl_string_lim.vhd │ ├── vhdl_struct_array.v │ ├── vhdl_struct_array.vhd │ ├── vhdl_subprogram.v │ ├── vhdl_subprogram.vhd │ ├── vhdl_subprogram_pkg.vhd │ ├── vhdl_subtypes.v │ ├── vhdl_subtypes.vhd │ ├── vhdl_subtypes_pkg.vhd │ ├── vhdl_test1.v │ ├── vhdl_test1.vhd │ ├── vhdl_test2.v │ ├── vhdl_test2.vhd │ ├── vhdl_test3.v │ ├── vhdl_test3.vhd │ ├── vhdl_test4.v │ ├── vhdl_test4.vhd │ ├── vhdl_test5.v │ ├── vhdl_test5.vhd │ ├── vhdl_test6.v │ ├── vhdl_test6.vhd │ ├── vhdl_test7.v │ ├── vhdl_test7.vhd │ ├── vhdl_test8.v │ ├── vhdl_test8.vhd │ ├── vhdl_test9.v │ ├── vhdl_test9.vhd │ ├── vhdl_textio_read.v │ ├── vhdl_textio_read.vhd │ ├── vhdl_textio_write.v │ ├── vhdl_textio_write.vhd │ ├── vhdl_time.v │ ├── vhdl_time.vhd │ ├── vhdl_time_pkg.vhd │ ├── vhdl_timescale_1ns.cfg │ ├── vhdl_to_integer.v │ ├── vhdl_to_integer.vhd │ ├── vhdl_uadd23_bit.v │ ├── vhdl_uadd23_bit.vhd │ ├── vhdl_uadd23_stdlogic.v │ ├── vhdl_uadd23_stdlogic.vhd │ ├── vhdl_udiv23_bit.v │ ├── vhdl_udiv23_bit.vhd │ ├── vhdl_udiv23_stdlogic.v │ ├── vhdl_udiv23_stdlogic.vhd │ ├── vhdl_umul23_bit.v │ ├── vhdl_umul23_bit.vhd │ ├── vhdl_umul23_stdlogic.v │ ├── vhdl_umul23_stdlogic.vhd │ ├── vhdl_unary_minus.v │ ├── vhdl_unary_minus.vhd │ ├── vhdl_unbounded.v │ ├── vhdl_unbounded.vhd │ ├── vhdl_unbounded_func.v │ ├── vhdl_unbounded_func.vhd │ ├── vhdl_unbounded_func_pkg.vhd │ ├── vhdl_usub23_bit.v │ ├── vhdl_usub23_bit.vhd │ ├── vhdl_usub23_stdlogic.v │ ├── vhdl_usub23_stdlogic.vhd │ ├── vhdl_var_init.v │ ├── vhdl_var_init.vhd │ ├── vhdl_wait.v │ ├── vhdl_wait.vhd │ ├── vhdl_while.v │ ├── vhdl_while.vhd │ ├── vhdl_xnor104_stdlogic.v │ ├── vhdl_xnor104_stdlogic.vhd │ ├── vhdl_xnor23_bit.v │ ├── vhdl_xnor23_bit.vhd │ ├── vhdl_xnorg_bit.v │ ├── vhdl_xnorg_bit.vhd │ ├── vhdl_xnorg_stdlogic.v │ ├── vhdl_xnorg_stdlogic.vhd │ ├── vhdl_xor104_stdlogic.v │ ├── vhdl_xor104_stdlogic.vhd │ ├── vhdl_xor23_bit.v │ ├── vhdl_xor23_bit.vhd │ ├── vhdl_xorg_bit.v │ ├── vhdl_xorg_bit.vhd │ ├── vhdl_xorg_stdlogic.v │ ├── vhdl_xorg_stdlogic.vhd │ ├── vvp_quiet_mode.v │ ├── vvp_recv_vec4_pv.v │ ├── vvp_scalar_value.v │ ├── wait1.v │ ├── wait2.v │ ├── wait3.v │ ├── wait_fork.v │ ├── warn_opt_sys_tf.v │ ├── when_else.vhd │ ├── width.v │ ├── wild_cmp_const.v │ ├── wild_cmp_err.v │ ├── wild_cmp_err2.v │ ├── wild_cmp_net.v │ ├── wild_cmp_var.v │ ├── wildsense.v │ ├── wildsense2.v │ ├── wireadd1.v │ ├── wireeq.v │ ├── wirege.v │ ├── wireland.v │ ├── wirele.v │ ├── wiremod1.v │ ├── wiresl.v │ ├── wiresl2.v │ ├── wiresr.v │ ├── wiresub1.v │ ├── wirexor1.v │ ├── work14.vhd │ ├── work14_pkg.vhd │ ├── work7.cfg │ ├── work7.v │ ├── work7 │ │ ├── bigcount.vhd │ │ ├── fdc.vhd │ │ ├── timebase.vhd │ │ └── work7-pkg.vhd │ ├── work7b.cfg │ ├── work7b.v │ ├── work7b │ │ ├── bigcount.vhd │ │ ├── fdc.vhd │ │ ├── timebase.vhd │ │ └── uselib │ │ │ └── work7.pkg │ ├── wreal.v │ ├── writemem-error.v │ ├── writemem-invalid.v │ ├── writememb1.v │ ├── writememb2.v │ ├── writememh1.v │ ├── writememh2.v │ ├── xnor_test.v │ ├── z1.v │ ├── z2.v │ ├── zero_repl.v │ └── zero_repl_fail.v ├── obsolete │ ├── README │ ├── elist │ ├── eregress.pl │ ├── sregress.pl │ └── vvptests │ │ ├── COPYING │ │ ├── README │ │ ├── regress.list │ │ ├── vvp.pl │ │ └── vvpsources │ │ ├── assignx0.vp │ │ ├── force.vp │ │ ├── force0.vp │ │ ├── force_pca.vp │ │ ├── hello.vp │ │ └── resolvz.vp ├── perl-lib │ ├── Diff.pm │ ├── Environment.pm │ ├── RegressionList.pm │ └── Reporting.pm ├── regress ├── regress-fsv.list ├── regress-ivl1.list ├── regress-sv.list ├── regress-synth.list ├── regress-vhdl.list ├── regress-vlg.list ├── regress-vlog95.list ├── regress-vvp.list ├── run_ivl.py ├── src │ ├── alloca.h │ ├── analyzer.h │ ├── bsearch.c │ ├── bsearch.h │ ├── debug.c │ ├── debug.h │ ├── globals.c │ ├── globals.h │ ├── main.c │ ├── misc.c │ ├── misc.h │ ├── vcd.c │ └── vcd.h ├── test_lists.py ├── vhdl_gold │ ├── bitsel.gold │ ├── function1.gold │ ├── mux2.gold │ ├── signed4.gold │ └── simple_gen.gold ├── vhdl_reg.pl ├── vhdl_regress.list ├── vhdl_tests │ ├── assign.v │ ├── autof.v │ ├── blocking.v │ ├── constassign.v │ ├── counter.v │ ├── dff.v │ ├── generics.v │ ├── mux2.v │ ├── partpv.v │ ├── pr2147135a.v │ ├── pr2147135b.v │ ├── pr2281519.v │ ├── pr2362426.v │ ├── pr2391405.v │ ├── pr2489116.v │ ├── pr2489237.v │ ├── pr2516774.v │ ├── pr2516774b.v │ ├── pr2526768.v │ ├── pr2527366.v │ ├── pr2529315.v │ ├── pr2529315b.v │ ├── pr2531370.v │ ├── pr2534491.v │ ├── pr2536040.v │ ├── pr2541625.v │ ├── pr2554029.v │ ├── pr2554124.v │ ├── pr2554173.v │ ├── pr2555813.v │ ├── pr2555813b.v │ ├── pr2555831.v │ ├── pr2661101.v │ ├── pr2911213.v │ ├── pr3397689.v │ ├── readout.v │ ├── reserved.v │ └── simple_gen.v ├── vlog95_reg.pl ├── vpi │ ├── br_gh1037.c │ ├── br_gh1037.v │ ├── br_gh1041.c │ ├── br_gh1041.v │ ├── br_gh1041b.c │ ├── br_gh1041b.v │ ├── br_gh117.c │ ├── br_gh117.v │ ├── br_gh141.c │ ├── br_gh141.v │ ├── br_gh169.c │ ├── br_gh169a.v │ ├── br_gh169b.v │ ├── br_gh184.v │ ├── br_gh235.c │ ├── br_gh235.v │ ├── br_gh308.c │ ├── br_gh308.v │ ├── br_gh317.c │ ├── br_gh317.v │ ├── br_gh496.c │ ├── br_gh496.v │ ├── br_gh59.c │ ├── br_gh59.v │ ├── br_gh73a.v │ ├── br_gh73b.v │ ├── br_ml20191013.c │ ├── br_ml20191013.v │ ├── by_index.c │ ├── by_index.v │ ├── by_name.c │ ├── by_name.v │ ├── callback1.c │ ├── callback1.v │ ├── celldefine.c │ ├── celldefine.v │ ├── check_version.c │ ├── check_version.v │ ├── display_array.c │ ├── display_array.v │ ├── event1.c │ ├── event1.v │ ├── event2.c │ ├── event2.v │ ├── final.c │ ├── final.v │ ├── find_sig.c │ ├── find_sig.v │ ├── force.c │ ├── force_real.c │ ├── force_reg.v │ ├── force_reg_pv.v │ ├── force_reg_real.v │ ├── force_wire.v │ ├── force_wire_pv.v │ ├── force_wire_real.v │ ├── genblk_direct.v │ ├── genblk_named.v │ ├── genblk_names.c │ ├── genblk_unnamed.v │ ├── getp.c │ ├── getp.v │ ├── hello_poke.c │ ├── hello_poke.v │ ├── hello_tf.c │ ├── hello_tf.v │ ├── hello_vpi.c │ ├── hello_vpi.v │ ├── hello_vpi1.c │ ├── hello_vpi2.c │ ├── hello_vpi2.v │ ├── listparams.c │ ├── listparams.v │ ├── memmon.c │ ├── memmon.v │ ├── memwide.cc │ ├── memwide.v │ ├── mipname.c │ ├── mipname.v │ ├── myscope.c │ ├── myscope.v │ ├── myscope2.c │ ├── myscope2.v │ ├── nextsimtime_cb.c │ ├── nextsimtime_cb.v │ ├── nextsimtime_cb1.c │ ├── nextsimtime_cb1.v │ ├── nextsimtime_cb2.c │ ├── nextsimtime_cb2.v │ ├── nextsimtime_cb3.c │ ├── nextsimtime_cb3.v │ ├── nextsimtime_cb4.c │ ├── nextsimtime_cb4.v │ ├── nulls1.c │ ├── nulls1.v │ ├── pokereg.cc │ ├── pokereg.v │ ├── pokevent.cc │ ├── pokevent.v │ ├── ports_params.c │ ├── ports_params.v │ ├── pr1693971.c │ ├── pr1693971.v │ ├── pr2048463.c │ ├── pr2048463.v │ ├── pr2314742.c │ ├── pr2314742.v │ ├── pr2966059.c │ ├── pr2966059.v │ ├── pr2971220.c │ ├── pr2971220.v │ ├── pr521.c │ ├── pr521.v │ ├── pr686.c │ ├── pr686.v │ ├── pr723.c │ ├── pr723.v │ ├── putp.c │ ├── putp.v │ ├── putp2.c │ ├── putp2.v │ ├── putvalue.c │ ├── putvalue.v │ ├── range1.c │ ├── range1.v │ ├── realcb.c │ ├── realcb.v │ ├── realtime.c │ ├── realtime.v │ ├── realtime2.c │ ├── realtime2.v │ ├── ro_synch.c │ ├── ro_synch.v │ ├── scanmem.cc │ ├── scanmem.v │ ├── scanmem2.cc │ ├── scanmem2.v │ ├── scanmem3.cc │ ├── scanmem3.v │ ├── scopes.c │ ├── scopes.v │ ├── sim_time_cb.c │ ├── sim_time_cb1.c │ ├── sim_time_cb1.v │ ├── sim_time_cb2.c │ ├── sim_time_cb2.v │ ├── spec_delays.c │ ├── spec_delays.v │ ├── start_of_simtime1.c │ ├── start_of_simtime1.v │ ├── timescale.c │ ├── timescale.v │ ├── value_change_cb.c │ ├── value_change_cb.v │ ├── value_change_cb1.c │ ├── value_change_cb1.v │ ├── value_change_cb2.c │ ├── value_change_cb2.v │ ├── value_change_cb3.c │ ├── value_change_cb3.v │ ├── value_change_cb4.c │ ├── value_change_cb4.v │ ├── vpi_control.c │ └── vpi_control.v ├── vpi_gold │ ├── br_gh1037.gold │ ├── br_gh1041.gold │ ├── br_gh1041b.gold │ ├── br_gh117.gold │ ├── br_gh141.gold │ ├── br_gh169a.gold │ ├── br_gh169b.gold │ ├── br_gh184.gold │ ├── br_gh235.gold │ ├── br_gh308.gold │ ├── br_gh317.gold │ ├── br_gh496.gold │ ├── br_gh59.gold │ ├── br_gh73a.gold │ ├── br_gh73b.gold │ ├── br_ml20191013.gold │ ├── by_index.gold │ ├── by_name-std.log │ ├── by_name.log │ ├── callback1.log │ ├── celldefine.gold │ ├── check_version.gold │ ├── display_array.gold │ ├── event1.log │ ├── event2.log │ ├── final.gold │ ├── find_sig.gold │ ├── force_reg.gold │ ├── force_reg_pv.gold │ ├── force_reg_real.gold │ ├── force_wire.gold │ ├── force_wire_pv.gold │ ├── force_wire_real.gold │ ├── genblk_direct.gold │ ├── genblk_named.gold │ ├── genblk_unnamed.gold │ ├── getp.log │ ├── hello.log │ ├── hello2.log │ ├── hello_poke.log │ ├── hello_tf.log │ ├── listparams.log │ ├── memmon.log │ ├── memwide-std.log │ ├── memwide.log │ ├── mipname.log │ ├── myscope.gold │ ├── myscope2.gold │ ├── nextsimtime_cb1.gold │ ├── nextsimtime_cb2.gold │ ├── nextsimtime_cb3.gold │ ├── nextsimtime_cb4.gold │ ├── nulls1-std.log │ ├── nulls1.log │ ├── pokereg.log │ ├── pokevent.log │ ├── ports_params.gold │ ├── pr1693971.log │ ├── pr2048463.log │ ├── pr2314742.gold │ ├── pr2966059.gold │ ├── pr2971220.gold │ ├── pr521.log │ ├── pr686.log │ ├── pr723-std.log │ ├── pr723.log │ ├── putp.log │ ├── putp2.log │ ├── putvalue.log │ ├── range1.gold │ ├── realcb.log │ ├── realtime.log │ ├── realtime2.log │ ├── ro_synch.gold │ ├── scanmem.log │ ├── scanmem2.log │ ├── scanmem3.log │ ├── scopes-std.log │ ├── scopes.log │ ├── sim_time_cb1.gold │ ├── sim_time_cb2.gold │ ├── spec_delays.log │ ├── start_of_simtime1.log │ ├── timescale.log │ ├── value_change_cb1.gold │ ├── value_change_cb2.gold │ ├── value_change_cb3.gold │ ├── value_change_cb4.gold │ └── vpi_control.log ├── vpi_reg.pl ├── vpi_regress.list ├── vvp_reg.pl ├── vvp_reg.py └── vvp_tests │ ├── README.txt │ ├── always4A.json │ ├── always4B.json │ ├── analog1.json │ ├── analog2.json │ ├── array_packed_sysfunct.json │ ├── array_packed_value_list.json │ ├── array_packed_write_read.json │ ├── array_slice_concat.json │ ├── automatic_error11.json │ ├── automatic_error12.json │ ├── automatic_error13.json │ ├── bits4.json │ ├── bitsel11.json │ ├── br_gh1001.json │ ├── br_gh1018.json │ ├── br_gh1029.json │ ├── br_gh1074a.json │ ├── br_gh1074b.json │ ├── br_gh1087a1.json │ ├── br_gh1087a2.json │ ├── br_gh1087a3.json │ ├── br_gh1087b.json │ ├── br_gh1087c.json │ ├── br_gh1097.json │ ├── br_gh1099a.json │ ├── br_gh1099b.json │ ├── br_gh1099c.json │ ├── br_gh1104.json │ ├── br_gh1122.json │ ├── br_gh1143a.json │ ├── br_gh1143b.json │ ├── br_gh1143c.json │ ├── br_gh1143d.json │ ├── br_gh1143e.json │ ├── br_gh1143f.json │ ├── br_gh1143g.json │ ├── br_gh1143h.json │ ├── br_gh1155.json │ ├── br_gh1163.json │ ├── br_gh1180a.json │ ├── br_gh1180b.json │ ├── br_gh1181.json │ ├── br_gh1184.json │ ├── br_gh1242.json │ ├── br_gh1256a.json │ ├── br_gh1256b.json │ ├── br_gh1258a.json │ ├── br_gh1258b.json │ ├── br_gh13a-vlog95.json │ ├── br_gh13a.json │ ├── br_gh230.json │ ├── br_gh383a.json │ ├── br_gh383b.json │ ├── br_gh383c.json │ ├── br_gh383d.json │ ├── br_gh440.json │ ├── br_gh483a.json │ ├── br_gh483b.json │ ├── br_gh552.json │ ├── br_gh687.json │ ├── br_gh703.json │ ├── br_gh710a.json │ ├── br_gh710b.json │ ├── br_gh710c.json │ ├── br_gh939.json │ ├── br_gh99c-vlog95.json │ ├── br_gh99c.json │ ├── ca_time_real.json │ ├── case1.json │ ├── case2-S.json │ ├── case2.json │ ├── case3.json │ ├── casex_synth.json │ ├── cast_int_ams-vlog95.json │ ├── cast_int_ams.json │ ├── cast_real_invalid1.json │ ├── cast_real_invalid2.json │ ├── cast_real_invalid3.json │ ├── cast_real_invalid4.json │ ├── comment1.json │ ├── constfunc16.json │ ├── constfunc17.json │ ├── constfunc18.json │ ├── constfunc19.json │ ├── constfunc20.json │ ├── constfunc4_ams-vlog95.json │ ├── constfunc4_ams.json │ ├── constfunc6_ams-vlog95.json │ ├── constfunc6_ams.json │ ├── constfunccall1.json │ ├── constfunccall2.json │ ├── constfunccall3.json │ ├── decl_before_use1.json │ ├── decl_before_use2.json │ ├── decl_before_use3.json │ ├── decl_before_use4.json │ ├── decl_before_use5.json │ ├── decl_before_use6.json │ ├── delayed_sfunc.json │ ├── dffsynth-S.json │ ├── dffsynth.json │ ├── dffsynth10.json │ ├── dffsynth11.json │ ├── dffsynth2.json │ ├── dffsynth3.json │ ├── dffsynth4.json │ ├── dffsynth5.json │ ├── dffsynth6.json │ ├── dffsynth7.json │ ├── dffsynth8.json │ ├── dffsynth9.json │ ├── dumpfile.json │ ├── early_sig_elab1.json │ ├── early_sig_elab2.json │ ├── early_sig_elab3.json │ ├── eofmt_percent-vlog95.json │ ├── eofmt_percent.json │ ├── fdisplay3.json │ ├── final3.json │ ├── fread-error.json │ ├── line_directive.json │ ├── localparam_type-vlog95.json │ ├── localparam_type.json │ ├── macro_str_esc.json │ ├── memsynth1.json │ ├── memsynth2-synth.json │ ├── memsynth2.json │ ├── memsynth3-synth.json │ ├── memsynth3.json │ ├── memsynth4-synth.json │ ├── memsynth4.json │ ├── memsynth5-synth.json │ ├── memsynth5.json │ ├── memsynth6-synth.json │ ├── memsynth6.json │ ├── memsynth7-synth.json │ ├── memsynth7.json │ ├── memsynth9-synth.json │ ├── memsynth9.json │ ├── mix_reset-synth.json │ ├── mix_reset.json │ ├── module_ordered_list1.json │ ├── module_ordered_list2.json │ ├── module_port_array1.json │ ├── module_port_array_init1.json │ ├── non-polymorphic-abs.json │ ├── param-width-vlog95.json │ ├── param-width.json │ ├── param_test3.json │ ├── parameter_type-vlog95.json │ ├── parameter_type.json │ ├── partsel_invalid_idx1.json │ ├── partsel_invalid_idx2.json │ ├── partsel_invalid_idx3.json │ ├── partsel_invalid_idx4.json │ ├── partsel_invalid_idx5.json │ ├── partsel_invalid_idx6.json │ ├── partsel_outside_const.json │ ├── partsel_outside_expr.json │ ├── partsel_reversed_idx1.json │ ├── partsel_reversed_idx2.json │ ├── partsel_reversed_idx3.json │ ├── partsel_reversed_idx4.json │ ├── partsel_reversed_idx5.json │ ├── partsel_reversed_idx6.json │ ├── pr1388974-vlog95.json │ ├── pr1388974.json │ ├── pr1701890.json │ ├── pr1864110a.json │ ├── pr1864110b.json │ ├── pr1864115.json │ ├── pr1916261a.json │ ├── pr2509349a-vlog95.json │ ├── pr2509349a.json │ ├── pr2509349b-vlog95.json │ ├── pr2509349b.json │ ├── pr2800985b.json │ ├── pr3270320_ams.json │ ├── pr903-vlog95.json │ ├── pr903.json │ ├── program2b-vlog95.json │ ├── program2b.json │ ├── program3a-vlog95.json │ ├── program3a.json │ ├── pv_wr_fn_vec2.json │ ├── pv_wr_fn_vec4.json │ ├── queue_fail.json │ ├── readmem-invalid.json │ ├── scaled_real.json │ ├── scan-invalid.json │ ├── sdf_header.json │ ├── sdf_interconnect1.json │ ├── sdf_interconnect2.json │ ├── sdf_interconnect3.json │ ├── sdf_interconnect4.json │ ├── sf_countbits_fail.json │ ├── sf_countones_fail.json │ ├── sf_isunknown_fail.json │ ├── sf_onehot0_fail.json │ ├── sf_onehot_fail.json │ ├── shift6.json │ ├── single_element_array.json │ ├── struct_enum_partsel.json │ ├── struct_field_left_right.json │ ├── struct_nested1.json │ ├── struct_packed_value_list.json │ ├── struct_packed_write_read.json │ ├── struct_packed_write_read2.json │ ├── sv_2state_array_init_prop.json │ ├── sv_ap_uarray1.json │ ├── sv_ap_uarray2.json │ ├── sv_ap_uarray3.json │ ├── sv_ap_uarray4.json │ ├── sv_ap_uarray5.json │ ├── sv_ap_uarray6.json │ ├── sv_ap_uarray_fail1.json │ ├── sv_ap_uarray_fail2.json │ ├── sv_argumentless_func.json │ ├── sv_array_assign_fail1.json │ ├── sv_array_assign_fail2.json │ ├── sv_array_cassign6.json │ ├── sv_array_cassign7.json │ ├── sv_array_cassign8.json │ ├── sv_automatic_2state.json │ ├── sv_chained_constructor1.json │ ├── sv_chained_constructor2.json │ ├── sv_chained_constructor3.json │ ├── sv_chained_constructor4.json │ ├── sv_chained_constructor5.json │ ├── sv_class_prop_assign_op1.json │ ├── sv_class_prop_assign_op2.json │ ├── sv_class_prop_logic.json │ ├── sv_class_prop_nest_darray1.json │ ├── sv_class_prop_nest_obj1.json │ ├── sv_class_prop_nest_real1.json │ ├── sv_class_prop_nest_str1.json │ ├── sv_class_prop_nest_vec1.json │ ├── sv_const1.json │ ├── sv_const2.json │ ├── sv_const3.json │ ├── sv_const4.json │ ├── sv_const5.json │ ├── sv_const_fail1.json │ ├── sv_const_fail2.json │ ├── sv_const_fail3.json │ ├── sv_const_fail4.json │ ├── sv_const_fail5.json │ ├── sv_const_fail6.json │ ├── sv_const_fail7.json │ ├── sv_const_fail8.json │ ├── sv_const_fail9.json │ ├── sv_darray_assign_op.json │ ├── sv_default_port_value1.json │ ├── sv_default_port_value2.json │ ├── sv_default_port_value3.json │ ├── sv_foreach10.json │ ├── sv_foreach9.json │ ├── sv_interface.json │ ├── sv_literals.json │ ├── sv_mixed_assign1.json │ ├── sv_mixed_assign2.json │ ├── sv_mixed_assign_error1.json │ ├── sv_mixed_assign_error2.json │ ├── sv_mixed_assign_error3.json │ ├── sv_mixed_assign_error4.json │ ├── sv_module_port1.json │ ├── sv_module_port2.json │ ├── sv_module_port3.json │ ├── sv_module_port4.json │ ├── sv_named_arg_base1.json │ ├── sv_named_arg_base2.json │ ├── sv_named_arg_base3.json │ ├── sv_named_arg_base_fail1.json │ ├── sv_named_arg_base_fail2.json │ ├── sv_named_arg_base_fail3.json │ ├── sv_named_arg_base_fail4.json │ ├── sv_named_arg_base_fail5.json │ ├── sv_named_arg_chained1.json │ ├── sv_named_arg_chained2.json │ ├── sv_named_arg_chained3.json │ ├── sv_named_arg_chained_fail1.json │ ├── sv_named_arg_chained_fail2.json │ ├── sv_named_arg_chained_fail3.json │ ├── sv_named_arg_chained_fail4.json │ ├── sv_named_arg_chained_fail5.json │ ├── sv_named_arg_func1.json │ ├── sv_named_arg_func2.json │ ├── sv_named_arg_func3.json │ ├── sv_named_arg_func_fail1.json │ ├── sv_named_arg_func_fail2.json │ ├── sv_named_arg_func_fail3.json │ ├── sv_named_arg_func_fail4.json │ ├── sv_named_arg_func_fail5.json │ ├── sv_named_arg_new1.json │ ├── sv_named_arg_new2.json │ ├── sv_named_arg_new3.json │ ├── sv_named_arg_new_fail1.json │ ├── sv_named_arg_new_fail2.json │ ├── sv_named_arg_new_fail3.json │ ├── sv_named_arg_new_fail4.json │ ├── sv_named_arg_new_fail5.json │ ├── sv_named_arg_task1.json │ ├── sv_named_arg_task2.json │ ├── sv_named_arg_task3.json │ ├── sv_named_arg_task_fail1.json │ ├── sv_named_arg_task_fail2.json │ ├── sv_named_arg_task_fail3.json │ ├── sv_named_arg_task_fail4.json │ ├── sv_named_arg_task_fail5.json │ ├── sv_parameter_type.json │ ├── sv_queue_assign_op.json │ ├── sv_wildcard_import8.json │ ├── task_return1.json │ ├── task_return2.json │ ├── task_return_fail1.json │ ├── task_return_fail2.json │ ├── test_va_math.json │ ├── test_vams_math.json │ ├── timing_check_delayed_signals.json │ ├── timing_check_syntax.json │ ├── uwire_fail2.json │ ├── uwire_fail3.json │ ├── uwire_fail4.json │ ├── va_math.json │ ├── value_range1.json │ ├── value_range2.json │ ├── value_range3.json │ ├── vams_abs1-vlog95.json │ ├── vams_abs1.json │ ├── vams_abs2-vlog95.json │ ├── vams_abs2.json │ ├── vams_abs3-vlog95.json │ ├── vams_abs3.json │ ├── vardly_undefined_vec.json │ ├── vvp_quiet_mode.json │ ├── warn_opt_sys_tf.json │ ├── wreal.json │ └── writemem-invalid.json ├── lexor.lex ├── lexor_keyword.gperf ├── lexor_keyword.h ├── libmisc ├── LineInfo.cc ├── LineInfo.h ├── StringHeap.cc └── StringHeap.h ├── libveriuser ├── Makefile.in ├── a_close.c ├── a_compare_handles.c ├── a_configure.c ├── a_fetch_argc.c ├── a_fetch_argv.c ├── a_fetch_dir.c ├── a_fetch_fullname.c ├── a_fetch_location.c ├── a_fetch_param.c ├── a_fetch_range.c ├── a_fetch_tfarg.c ├── a_fetch_time.c ├── a_fetch_type.c ├── a_fetch_type_str.c ├── a_fetch_value.c ├── a_handle_by_name.c ├── a_handle_hiconn.c ├── a_handle_object.c ├── a_handle_parent.c ├── a_handle_simulated_net.c ├── a_handle_tfarg.c ├── a_initialize.c ├── a_next.c ├── a_next_bit.c ├── a_next_port.c ├── a_next_topmod.c ├── a_object_of_type.c ├── a_product_version.c ├── a_set_value.c ├── a_vcl.c ├── a_version.c ├── asynch.c ├── config.h.in ├── cppcheck.sup ├── delay.c ├── exprinfo.c ├── finish.c ├── getcstringp.c ├── getinstance.c ├── getlongp.c ├── getp.c ├── getsimtime.c ├── io_print.c ├── math.c ├── mc_scan_plusargs.c ├── nodeinfo.c ├── nump.c ├── priv.c ├── priv.h ├── putlongp.c ├── putp.c ├── spname.c ├── typep.c ├── veriusertfs.c └── workarea.c ├── link_const.cc ├── load_module.cc ├── main.cc ├── map_named_args.cc ├── map_named_args.h ├── mkinstalldirs ├── msys2 ├── PKGBUILD └── README.md ├── named.h ├── net_analog.cc ├── net_assign.cc ├── net_design.cc ├── net_event.cc ├── net_expr.cc ├── net_func.cc ├── net_func_eval.cc ├── net_link.cc ├── net_modulo.cc ├── net_nex_input.cc ├── net_nex_output.cc ├── net_proc.cc ├── net_scope.cc ├── net_tran.cc ├── net_udp.cc ├── netclass.cc ├── netclass.h ├── netdarray.cc ├── netdarray.h ├── netenum.cc ├── netenum.h ├── netlist.cc ├── netlist.h ├── netmisc.cc ├── netmisc.h ├── netparray.cc ├── netparray.h ├── netqueue.cc ├── netqueue.h ├── netscalar.cc ├── netscalar.h ├── netstruct.cc ├── netstruct.h ├── nettypes.cc ├── nettypes.h ├── netvector.cc ├── netvector.h ├── nodangle.cc ├── pad_to_width.cc ├── parse.y ├── parse_api.h ├── parse_misc.cc ├── parse_misc.h ├── pform.cc ├── pform.h ├── pform_analog.cc ├── pform_disciplines.cc ├── pform_dump.cc ├── pform_package.cc ├── pform_pclass.cc ├── pform_types.cc ├── pform_types.h ├── property_qual.h ├── scripts ├── CREATE_RELEASE.sh ├── CREATE_SNAPSHOT.sh ├── CREATE_VERSION.sh ├── MAKE_RELEASE.sh ├── MAKE_SNAPSHOT.sh ├── devel-stub.conf ├── devel-stub.sft └── devel-stub.sh ├── solaris ├── README-solaris_pkg.txt ├── mksolpkg ├── pkginfo └── prototype ├── sv_vpi_user.h ├── symbol_search.cc ├── syn-rules.y ├── sync.cc ├── synth.cc ├── synth2.cc ├── sys_funcs.cc ├── t-dll-analog.cc ├── t-dll-api.cc ├── t-dll-expr.cc ├── t-dll-proc.cc ├── t-dll.cc ├── t-dll.h ├── target.cc ├── target.h ├── tgt-blif ├── Makefile.in ├── blif-s.conf ├── blif.cc ├── blif.conf ├── constants.cc ├── cppcheck.sup ├── logic_gate.cc ├── lpm.cc ├── lpm_add.cc ├── lpm_cmp_eq.cc ├── lpm_cmp_gt.cc ├── lpm_ff.cc ├── lpm_mux.cc ├── lpm_part_vp.cc ├── lpm_re_logic.cc ├── lpm_shift.cc ├── lpm_sign_ext.cc ├── nex_data.cc ├── nex_data.h └── priv.h ├── tgt-fpga ├── Makefile.in ├── cppcheck.sup ├── d-generic-edif.c ├── d-generic.c ├── d-lpm.c ├── d-virtex.c ├── d-virtex2.c ├── device.h ├── edif.c ├── edif.h ├── fpga-s.conf ├── fpga.c ├── fpga.conf ├── fpga_priv.h ├── gates.c ├── generic.c ├── generic.h ├── iverilog-fpga.man ├── mangle.c ├── tables.c ├── xilinx.c └── xilinx.h ├── tgt-null ├── Makefile.in ├── cppcheck.sup ├── null-s.conf ├── null.c └── null.conf ├── tgt-pal ├── Makefile.in ├── cppcheck.sup ├── dump_final.c ├── emit_jed.c ├── enables.c ├── fit_log.c ├── fit_reg.c ├── imain.c ├── pads.c └── priv.h ├── tgt-pcb ├── Makefile.in ├── cppcheck.sup ├── footprint.cc ├── fp.lex ├── fp.y ├── fp_api.h ├── pcb-s.conf ├── pcb.cc ├── pcb.conf ├── pcb_config.h.in ├── pcb_priv.h ├── scope.cc ├── show_netlist.cc └── show_pcb.cc ├── tgt-sizer ├── Makefile.in ├── cppcheck.sup ├── scan_logs.cc ├── scan_lpms.cc ├── sizer-s.conf ├── sizer.cc ├── sizer.conf └── sizer_priv.h ├── tgt-stub ├── Makefile.in ├── classes.c ├── constant.c ├── cppcheck.sup ├── enumerate.c ├── expression.c ├── priv.h ├── statement.c ├── stub-s.conf ├── stub.c ├── stub.conf ├── switches.c └── types.c ├── tgt-verilog ├── Makefile.in ├── cppcheck.sup └── verilog.c ├── tgt-vhdl ├── Makefile.in ├── cast.cc ├── cppcheck.sup ├── expr.cc ├── logic.cc ├── lpm.cc ├── process.cc ├── scope.cc ├── state.cc ├── state.hh ├── stmt.cc ├── support.cc ├── support.hh ├── vhdl-s.conf ├── vhdl.cc ├── vhdl.conf ├── vhdl_config.h.in ├── vhdl_element.cc ├── vhdl_element.hh ├── vhdl_helper.hh ├── vhdl_syntax.cc ├── vhdl_syntax.hh ├── vhdl_target.h ├── vhdl_type.cc ├── vhdl_type.hh └── vhpi │ └── finish.c ├── tgt-vlog95 ├── Makefile.in ├── cppcheck.sup ├── event.c ├── expr.c ├── logic_lpm.c ├── misc.c ├── numbers.c ├── scope.c ├── stmt.c ├── udp.c ├── vlog95-s.conf ├── vlog95.c ├── vlog95.conf └── vlog95_priv.h ├── tgt-vvp ├── COPYING.lesser ├── Makefile.in ├── cppcheck.sup ├── draw_class.c ├── draw_delay.c ├── draw_enum.c ├── draw_mux.c ├── draw_net_input.c ├── draw_substitute.c ├── draw_switch.c ├── draw_ufunc.c ├── draw_vpi.c ├── eval_condit.c ├── eval_expr.c ├── eval_object.c ├── eval_real.c ├── eval_string.c ├── eval_vec4.c ├── modpath.c ├── stmt_assign.c ├── vvp-s.conf.in ├── vvp.c ├── vvp.conf.in ├── vvp_config.h.in ├── vvp_priv.h ├── vvp_proc_loops.c ├── vvp_process.c └── vvp_scope.c ├── util.h ├── verilog.spec ├── verinum.cc ├── verinum.h ├── verireal.cc ├── verireal.h ├── veriuser.h ├── version.c ├── version_base.h ├── vhdlpp ├── Makefile.in ├── architec.cc ├── architec.h ├── architec_debug.cc ├── architec_elaborate.cc ├── architec_emit.cc ├── compiler.cc ├── compiler.h ├── debug.cc ├── entity.cc ├── entity.h ├── entity_elaborate.cc ├── entity_emit.cc ├── entity_stream.cc ├── expression.cc ├── expression.h ├── expression_debug.cc ├── expression_elaborate.cc ├── expression_emit.cc ├── expression_evaluate.cc ├── expression_stream.cc ├── ivl_assert.h ├── lexor.lex ├── lexor_keyword.gperf ├── library.cc ├── library.h ├── main.cc ├── package.cc ├── package.h ├── package_emit.cc ├── parse.y ├── parse_api.h ├── parse_misc.cc ├── parse_misc.h ├── parse_types.h ├── parse_wrap.h ├── scope.cc ├── scope.h ├── sequential.cc ├── sequential.h ├── sequential_debug.cc ├── sequential_elaborate.cc ├── sequential_emit.cc ├── std_funcs.cc ├── std_funcs.h ├── std_types.cc ├── std_types.h ├── subprogram.cc ├── subprogram.h ├── subprogram_emit.cc ├── vhdlint.cc ├── vhdlint.h ├── vhdlnum.h ├── vhdlpp_config.h.in ├── vhdlreal.cc ├── vhdlreal.h ├── vsignal.cc ├── vsignal.h ├── vtype.cc ├── vtype.h ├── vtype_elaborate.cc ├── vtype_emit.cc ├── vtype_match.cc └── vtype_stream.cc ├── vpi ├── Makefile.in ├── cppcheck.sup ├── fastlz.c ├── fastlz.h ├── fstapi.c ├── fstapi.h ├── libvpi.c ├── lxt2_write.c ├── lxt2_write.h ├── lxt_write.c ├── lxt_write.h ├── lz4.c ├── lz4.h ├── mt19937int.c ├── sdf_lexor.lex ├── sdf_parse.y ├── sdf_parse_priv.h ├── sdf_priv.h ├── stringheap.c ├── stringheap.h ├── sys_clog2.c ├── sys_convert.c ├── sys_countdrivers.c ├── sys_darray.c ├── sys_deposit.c ├── sys_display.c ├── sys_fileio.c ├── sys_finish.c ├── sys_fst.c ├── sys_icarus.c ├── sys_lxt.c ├── sys_lxt2.c ├── sys_plusargs.c ├── sys_priv.c ├── sys_priv.h ├── sys_queue.c ├── sys_random.c ├── sys_random.h ├── sys_random_mti.c ├── sys_readmem.c ├── sys_readmem_lex.h ├── sys_readmem_lex.lex ├── sys_scanf.c ├── sys_sdf.c ├── sys_table.c ├── sys_time.c ├── sys_vcd.c ├── sys_vcdoff.c ├── table_mod.c ├── table_mod.h ├── table_mod_lexor.lex ├── table_mod_parse.y ├── v2005_math.c ├── v2009_array.c ├── v2009_bitvec.c ├── v2009_enum.c ├── v2009_string.c ├── v2009_table.c ├── va_math.c ├── vams_simparam.c ├── vcd_priv.c ├── vcd_priv.h ├── vcd_priv2.cc ├── vhdl_table.c ├── vhdl_textio.c ├── vpi_config.h.in ├── vpi_debug.c └── wavealloca.h ├── vpi_modules.cc ├── vpi_user.h └── vvp ├── Makefile.in ├── arith.cc ├── arith.h ├── array.cc ├── array.h ├── array_common.cc ├── array_common.h ├── bufif.cc ├── bufif.h ├── class_type.cc ├── class_type.h ├── codes.cc ├── codes.h ├── compile.cc ├── compile.h ├── concat.cc ├── concat.h ├── config.h.in ├── cppcheck.sup ├── delay.cc ├── delay.h ├── dff.cc ├── dff.h ├── draw_tt.c ├── enum_type.cc ├── enum_type.h ├── event.cc ├── event.h ├── examples ├── assign_reg.vvp ├── copy.vvp ├── disable.vvp ├── edge.vvp ├── fork.vvp ├── hello.vvp ├── hello2.vvp ├── memory.hex ├── memory.vvp ├── set_reg.vvp ├── sum.vvp ├── time.vvp └── vector.vvp ├── extend.cc ├── file_line.cc ├── island_tran.cc ├── ivl_dlfcn.h ├── latch.cc ├── latch.h ├── lexor.lex ├── lib_main.cc ├── libvvp.h ├── logic.cc ├── logic.h ├── main.cc ├── npmos.cc ├── npmos.h ├── parse.y ├── parse_misc.cc ├── parse_misc.h ├── part.cc ├── part.h ├── permaheap.cc ├── permaheap.h ├── reduce.cc ├── resolv.cc ├── resolv.h ├── schedule.cc ├── schedule.h ├── sfunc.cc ├── sfunc.h ├── slab.h ├── statistics.cc ├── statistics.h ├── stop.cc ├── substitute.cc ├── symbols.cc ├── symbols.h ├── udp.cc ├── udp.h ├── ufunc.cc ├── ufunc.h ├── vpi_bit.cc ├── vpi_callback.cc ├── vpi_cobject.cc ├── vpi_const.cc ├── vpi_darray.cc ├── vpi_event.cc ├── vpi_iter.cc ├── vpi_mcd.cc ├── vpi_modules.cc ├── vpi_priv.cc ├── vpi_priv.h ├── vpi_real.cc ├── vpi_scope.cc ├── vpi_signal.cc ├── vpi_string.cc ├── vpi_tasks.cc ├── vpi_time.cc ├── vpi_vthr_vector.cc ├── vpip_bin.cc ├── vpip_format.cc ├── vpip_hex.cc ├── vpip_oct.cc ├── vpip_to_dec.cc ├── vthread.cc ├── vthread.h ├── vvp.def ├── vvp.man.in ├── vvp_cleanup.h ├── vvp_cobject.cc ├── vvp_cobject.h ├── vvp_darray.cc ├── vvp_darray.h ├── vvp_island.cc ├── vvp_island.h ├── vvp_net.cc ├── vvp_net.h ├── vvp_net_sig.cc ├── vvp_net_sig.h ├── vvp_object.cc ├── vvp_object.h ├── vvp_vpi.cc ├── vvp_vpi_callback.h └── words.cc /.gitattributes: -------------------------------------------------------------------------------- 1 | # gperf in MSYS chokes on DOS line endings 2 | *.gperf text eol=lf 3 | -------------------------------------------------------------------------------- /Documentation/.gitignore: -------------------------------------------------------------------------------- 1 | _build/ 2 | !Makefile 3 | -------------------------------------------------------------------------------- /Documentation/developer/guide/vpi/index.rst: -------------------------------------------------------------------------------- 1 | 2 | VPI in Icarus Verilog 3 | ===================== 4 | 5 | .. toctree:: 6 | :maxdepth: 1 7 | 8 | vpi 9 | va_math 10 | -------------------------------------------------------------------------------- /Documentation/favicon.ico: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/steveicarus/iverilog/30f1de906203bc70418ce49a47ccf6a6bc83966f/Documentation/favicon.ico -------------------------------------------------------------------------------- /Documentation/usage/GTKWave_Example2.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/steveicarus/iverilog/30f1de906203bc70418ce49a47ccf6a6bc83966f/Documentation/usage/GTKWave_Example2.png -------------------------------------------------------------------------------- /check.conf: -------------------------------------------------------------------------------- 1 | functor:cprop 2 | functor:nodangle 3 | -t:dll 4 | flag:DLL=tgt-vvp/vvp.tgt 5 | -------------------------------------------------------------------------------- /ivtest/fpga_tests/.cvsignore: -------------------------------------------------------------------------------- 1 | fpga_log 2 | fpga_tmp 3 | -------------------------------------------------------------------------------- /ivtest/fpga_tests/bufifab.v: -------------------------------------------------------------------------------- 1 | module bufifab (output Out0, output Out1, input I, input E); 2 | 3 | bufif0 (Out0, I, E); 4 | bufif1 (Out1, I, E); 5 | 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/fpga_tests/ge2.v: -------------------------------------------------------------------------------- 1 | module ge2(output wire out, input wire [1:0] A, input wire [1:0] B); 2 | 3 | assign out = A >= B; 4 | 5 | endmodule // ge2 6 | -------------------------------------------------------------------------------- /ivtest/fpga_tests/ge8.v: -------------------------------------------------------------------------------- 1 | module ge8(output wire out, input wire [7:0] A, input wire [7:0] B); 2 | 3 | assign out = A >= B; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/fpga_tests/onehot16.v: -------------------------------------------------------------------------------- 1 | module onehot16(output wire [15:0] out, input wire [3:0] A); 2 | 3 | assign out = 1 << A; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/fpga_tests/sub8.v: -------------------------------------------------------------------------------- 1 | module sub8(output wire [7:0] out, input wire [7:0] A, input wire [7:0] B); 2 | 3 | assign out = A - B; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/gold/always_comb_no_sens.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/always_comb_no_sens.v:5: warning: always_comb process has no sensitivities. 2 | PASSED 3 | -------------------------------------------------------------------------------- /ivtest/gold/always_star_array_lval.gold: -------------------------------------------------------------------------------- 1 | 0 1 2 3 2 | 4 1 2 3 3 | 4 5 2 3 4 | 4 5 6 3 5 | 4 5 6 7 6 | -------------------------------------------------------------------------------- /ivtest/gold/array_word_width.gold: -------------------------------------------------------------------------------- 1 | 0003 2 | -------------------------------------------------------------------------------- /ivtest/gold/automatic_error11-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | ERROR: ivltests/automatic_error11.v:9: $monitor argument "local" is an automatic variable. 2 | -------------------------------------------------------------------------------- /ivtest/gold/automatic_error12-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | ERROR: ivltests/automatic_error12.v:10: $strobe argument "local" is an automatic variable. 2 | -------------------------------------------------------------------------------- /ivtest/gold/automatic_error13-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | ERROR: ivltests/automatic_error13.v:10: $fstrobe argument "local" is an automatic variable. 2 | -------------------------------------------------------------------------------- /ivtest/gold/automatic_error13.gold: -------------------------------------------------------------------------------- 1 | ERROR: ./ivltests/automatic_error13.v:10: $fstrobe argument "local" is an automatic variable. 2 | -------------------------------------------------------------------------------- /ivtest/gold/automatic_task.gold: -------------------------------------------------------------------------------- 1 | 1 x x x 2 | 2 x x x 3 | 1 1 x x 4 | 2 2 x x 5 | 1 1 1 x 6 | 2 2 2 x 7 | 1 1 1 1 8 | 2 2 2 2 9 | -------------------------------------------------------------------------------- /ivtest/gold/automatic_task2.gold: -------------------------------------------------------------------------------- 1 | 1 x x x 2 | 2 x x x 3 | 1 1 x x 4 | 2 2 x x 5 | 1 1 1 x 6 | 2 2 2 x 7 | 1 1 1 1 8 | 2 2 2 2 9 | -------------------------------------------------------------------------------- /ivtest/gold/bitsel.gold: -------------------------------------------------------------------------------- 1 | 1 2 | 0 3 | 0 4 | 1 5 | 0 6 | 1 7 | 1 8 | 0 9 | -------------------------------------------------------------------------------- /ivtest/gold/br1003a.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top) is 1ns / 1ps 2 | Time scale of (top) is 1ns / 1ps 3 | Time scale of ($unit::) is 100ps / 10ps 4 | 50 5 5 | PASSED 6 | -------------------------------------------------------------------------------- /ivtest/gold/br1003b-vlog95.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top) is 1ns / 1ps 2 | Time scale of (top) is 1ns / 1ps 3 | Time scale of (ivl_package_$unit) is 100ps / 10ps 4 | 50 5 5 | PASSED 6 | -------------------------------------------------------------------------------- /ivtest/gold/br1003b.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top) is 1ns / 1ps 2 | Time scale of (top) is 1ns / 1ps 3 | Time scale of ($unit::) is 100ps / 10ps 4 | 50 5 5 | PASSED 6 | -------------------------------------------------------------------------------- /ivtest/gold/br1003c-vlog95.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top) is 1ns / 1ps 2 | Time scale of (top) is 1ns / 1ps 3 | Time scale of (ivl_package_$unit) is 100ps / 10ps 4 | 50 5 5 | PASSED 6 | -------------------------------------------------------------------------------- /ivtest/gold/br1003c.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top) is 1ns / 1ps 2 | Time scale of (top) is 1ns / 1ps 3 | Time scale of ($unit::) is 100ps / 10ps 4 | 50 5 5 | PASSED 6 | -------------------------------------------------------------------------------- /ivtest/gold/br1003d-vlog95.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top) is 1ns / 1ps 2 | Time scale of (top) is 1ns / 1ps 3 | Time scale of (ivl_package_testpackage) is 100ps / 10ps 4 | 50 5 5 | PASSED 6 | -------------------------------------------------------------------------------- /ivtest/gold/br1003d.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top) is 1ns / 1ps 2 | Time scale of (top) is 1ns / 1ps 3 | Time scale of (testpackage::) is 100ps / 10ps 4 | 50 5 5 | PASSED 6 | -------------------------------------------------------------------------------- /ivtest/gold/br1008.gold: -------------------------------------------------------------------------------- 1 | b = [zzzz 0000] 2 | -------------------------------------------------------------------------------- /ivtest/gold/br1027a-fsv.gold: -------------------------------------------------------------------------------- 1 | 0 1 2 | -------------------------------------------------------------------------------- /ivtest/gold/br1027a.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br1027a.v:1: error: Missing task/function port direction. 2 | ./ivltests/br1027a.v:1: error: Missing task/function port direction. 3 | -------------------------------------------------------------------------------- /ivtest/gold/br1027b.gold: -------------------------------------------------------------------------------- 1 | 0 1 2 | -------------------------------------------------------------------------------- /ivtest/gold/br1027c-fsv.gold: -------------------------------------------------------------------------------- 1 | 0 1 2 | -------------------------------------------------------------------------------- /ivtest/gold/br1027c.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br1027c.v:1: error: Missing task/function port direction. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br1027d.gold: -------------------------------------------------------------------------------- 1 | 0 1 2 | -------------------------------------------------------------------------------- /ivtest/gold/br1027e-fsv.gold: -------------------------------------------------------------------------------- 1 | 0 1 2 | -------------------------------------------------------------------------------- /ivtest/gold/br1027e.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br1027e.v:1: error: Missing task/function port direction. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br1027f.gold: -------------------------------------------------------------------------------- 1 | 0 1 2 | -------------------------------------------------------------------------------- /ivtest/gold/br1029c.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br1029c.v:3: error: The argument to $signed must be a vector type. 2 | Elaboration failed 3 | -------------------------------------------------------------------------------- /ivtest/gold/br921.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br921.v:6: warning: User function 'test_fcn' is being called as a task. 2 | PASSED 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh105a.gold: -------------------------------------------------------------------------------- 1 | Hello world 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh105b.gold: -------------------------------------------------------------------------------- 1 | No args 2 | Args = hello 3 | Args = 123, hello 4 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1087a1-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1087a.v:3: Net data type requires SystemVerilog or -gxtypes. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1087a2-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1087a.v:6: error: Unresolved wire 'r' cannot have multiple drivers. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1087a3-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1087a.v:3: error: Net `r` can not be of type `real`. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1087b-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1087b.v:6: error: Unresolved wire 'b' cannot have multiple drivers. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1087c-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1087c.v:3: error: Net `b` can not be of type `bool`. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1117.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br_gh1117.v:10: error: generate/endgenerate regions cannot nest. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1143e-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1143a.v:9: error: null for-loop initialization requires SystemVerilog 2012 or later. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1143f-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1143b.v:8: error: null for-loop termination requires SystemVerilog 2012 or later. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1143g-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1143c.v:8: error: null for-loop step requires SystemVerilog 2012 or later. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1175a.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br_gh1175a.v:1: error: The UDP input port count (1) does not match the number of input table entries (6) in primitive "id_0". 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1175b.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br_gh1175b.v:1: error: The UDP input port count (1) does not match the number of input table entries (6) in primitive "id_0". 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1175f.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br_gh1175f.v:7: error: Primitive id_0 was already declared here: ./ivltests/br_gh1175f.v:1 2 | 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1180a-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1180a.v:3: sorry: packed array parameters are not supported yet. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1180b-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1180b.v:3: sorry: unpacked array parameters are not supported yet. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1184-vvp-stderr.gold: -------------------------------------------------------------------------------- 1 | sorry: multi-bit module path delays are currently not fully supported. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1184-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 1 00 xx 2 | 3 00 00 3 | 4 11 00 4 | 5 11 11 5 | 7 10 11 6 | 9 10 10 7 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1225a.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br_gh1225a.v:5: error: A generate "loop" requires the initialization genvar (gv2) to match the iteration genvar (gv1). 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1242-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1242.v:6: $finish(1) called at 0 (1s) 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh1258a-iverilog-stderr.gold: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/steveicarus/iverilog/30f1de906203bc70418ce49a47ccf6a6bc83966f/ivtest/gold/br_gh1258a-iverilog-stderr.gold -------------------------------------------------------------------------------- /ivtest/gold/br_gh1258b-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/br_gh1258.v:7: warning: Timing checks are not supported. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh13a-vlog95-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 0 2 | PASSED 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh13a-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 0 2 | PASSED 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh157.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br_gh157.v:13: error: Cannot override localparam `x` in `test.dut`. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh209.dat: -------------------------------------------------------------------------------- 1 | � -------------------------------------------------------------------------------- /ivtest/gold/br_gh230-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | ERROR: ivltests/br_gh230.v:6: $dumpvars cannot dump a vpiPartSelect. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh265.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/br_gh265.v:8: error: The expression '(8'd1)<<('sd4)' cannot be implicitly cast to the target type. 2 | Elaboration failed 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh289d.gold: -------------------------------------------------------------------------------- 1 | m.p1 1 2 11 2 | m.p2 1 4 1111 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh33.gold: -------------------------------------------------------------------------------- 1 | a= 7, b= 0, c= 1 -> old= x, new= 1 2 | a=15, b= 0, c= 2 -> old= x, new= 2 3 | a= 7, b= 0, c= 3 -> old= 1, new= 3 4 | a=15, b= 0, c= 4 -> old= 2, new= 4 5 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh365.gold: -------------------------------------------------------------------------------- 1 | DIRECT ASSIGNED STRING is WORKING 2 | Controller's new state is IDLE 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh366.gold: -------------------------------------------------------------------------------- 1 | /usr/local/bin/ 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh368.gold: -------------------------------------------------------------------------------- 1 | Process #1 2 | Process #2 3 | Process #1 -- completes 4 | Test task completes 5 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh374.gold: -------------------------------------------------------------------------------- 1 | opt1 2 | opt2 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh377-vlog95.gold: -------------------------------------------------------------------------------- 1 | <command line>: error: invalid value specified for defparam: test.name 2 | <command line>: error: invalid value specified for defparam: test.name 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh377.gold: -------------------------------------------------------------------------------- 1 | <command line>: error: invalid value specified for defparam: test.name 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh383a-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 1 2 3 4 2 | 4 3 2 1 3 | 8 7 6 5 4 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh383b-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 1 2 3 4 2 | 4 3 2 1 3 | 8 7 6 5 4 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh383c-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | a b c d 2 | d c b a 3 | h g f e 4 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh383d-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 1.00000 2.00000 3.00000 4.00000 2 | 4.00000 3.00000 2.00000 1.00000 3 | 8.00000 7.00000 6.00000 5.00000 4 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh388.gold: -------------------------------------------------------------------------------- 1 | new uvm_object 2 | new uvm_object 3 | new uvm_report_object 4 | u_0 5 | r_0 6 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh391.gold: -------------------------------------------------------------------------------- 1 | building 2 | running 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh436.gold: -------------------------------------------------------------------------------- 1 | m_argv[0] = str0 2 | LARGE: 4 3 | LARGE: 4 (2) 4 | m_argv[1] = str1 5 | LARGE: 4 6 | LARGE: 4 (2) 7 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh451.gold: -------------------------------------------------------------------------------- 1 | foo=4 2 | bar=2 3 | math=8 4 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh531.gold: -------------------------------------------------------------------------------- 1 | C1 01 2 | C2 x01x 3 | C3 01 4 | C4 x01x 5 | C5 01 6 | C6 x01x 7 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh687-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 0 2 | 0 3 | 0 4 | 0 5 | 0 6 | 0 7 | 0 8 | 0 9 | 0 10 | 1 11 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh710a-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | VCD info: dumpfile dump.vcd opened for output. 2 | VCD warning: $dumpvars: Package (p2::) is not dumpable with VCD. 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh710b-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | LXT info: dumpfile dump.lxt opened for output. 2 | LXT warning: $dumpvars: Package (p2::) is not dumpable with LXT. 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh710c-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | LXT2 info: dumpfile dump.lx2 opened for output. 2 | LXT2 warning: $dumpvars: Package (p2::) is not dumpable with LXT2. 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh72a.gold: -------------------------------------------------------------------------------- 1 | d1 2 | 1 3 | d1 d2 4 | 1 d2 5 | 1 2 6 | d1 d2 7 | 1 d2 8 | 1 2 9 | d1 2 10 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh72b.gold: -------------------------------------------------------------------------------- 1 | 1 2 | 1 2 3 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh788.gold: -------------------------------------------------------------------------------- 1 | 42 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_gh827.gold: -------------------------------------------------------------------------------- 1 | Const Positive yes 2 | Const Negative NO 3 | Var Positive yes 4 | Var Negative NO 5 | -------------------------------------------------------------------------------- /ivtest/gold/br_ml20190814.gold: -------------------------------------------------------------------------------- 1 | SDF WARNING: ivltests/br_ml20190814.sdf:37: TIMINGCHECK not supported. 2 | -------------------------------------------------------------------------------- /ivtest/gold/br_ml_20150315.gold: -------------------------------------------------------------------------------- 1 | At time 1, field 1 = 1, field 2 = 2 2 | -------------------------------------------------------------------------------- /ivtest/gold/busbug.gold: -------------------------------------------------------------------------------- 1 | 01=01 2 | -------------------------------------------------------------------------------- /ivtest/gold/ca_mult.gold: -------------------------------------------------------------------------------- 1 | -2 -1.00000 2 | 0 0.00000 3 | 2 1.00000 4 | -------------------------------------------------------------------------------- /ivtest/gold/ca_time.gold: -------------------------------------------------------------------------------- 1 | 0 0 2 | 1 1 3 | 0 0 4 | 3 3 5 | 0 0 6 | -------------------------------------------------------------------------------- /ivtest/gold/ca_time_real-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 0.00000 2 | 1.00000 3 | 0.00000 4 | 3.00000 5 | 0.00000 6 | -------------------------------------------------------------------------------- /ivtest/gold/ca_time_smtm.gold: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 0 4 | 3 5 | 0 6 | -------------------------------------------------------------------------------- /ivtest/gold/case_priority-vlog95.gold: -------------------------------------------------------------------------------- 1 | case 0 2 | case 1 3 | case 3 4 | PASSED 5 | -------------------------------------------------------------------------------- /ivtest/gold/case_unique-vlog95.gold: -------------------------------------------------------------------------------- 1 | case 0 2 | case 1 3 | case 3 4 | PASSED 5 | -------------------------------------------------------------------------------- /ivtest/gold/decl_before_use5-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/decl_before_use5.v:4: error: Unable to bind wire/reg/memory `w' in `test' 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/def_nettype_none.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/def_nettype_none.v:16: error: Net b is not defined in this context. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/defparam2.gold: -------------------------------------------------------------------------------- 1 | main.xx.U[0]: number=0 2 | main.xx.U[1]: number=1 3 | main.xx.U[2]: number=2 4 | main.xx.U[3]: number=3 5 | main.xx.U[4]: number=4 6 | -------------------------------------------------------------------------------- /ivtest/gold/defparam3.gold: -------------------------------------------------------------------------------- 1 | main.xx.sub[0].U: number=0 2 | main.xx.sub[1].U: number=1 3 | main.xx.sub[2].U: number=2 4 | main.xx.sub[3].U: number=3 5 | main.xx.sub[4].U: number=4 6 | -------------------------------------------------------------------------------- /ivtest/gold/defparam4.gold: -------------------------------------------------------------------------------- 1 | main.D.xx.sub[0].U: number=0 2 | main.D.xx.sub[1].U: number=1 3 | main.D.xx.sub[2].U: number=2 4 | main.D.xx.sub[3].U: number=3 5 | main.D.xx.sub[4].U: number=4 6 | -------------------------------------------------------------------------------- /ivtest/gold/disblock2.gold: -------------------------------------------------------------------------------- 1 | hello world, 'b1 2 | Byte enable is 'h1 3 | Byte enable is 'h0 4 | -------------------------------------------------------------------------------- /ivtest/gold/disp_dec.gold: -------------------------------------------------------------------------------- 1 | 4'bxxxx = x 2 | 4'bzzxx = X 3 | 4'bzzzz = z 4 | 4'b00zz = Z 5 | 4'b0000 = 0 6 | 4'b0011 = 3 7 | -------------------------------------------------------------------------------- /ivtest/gold/disp_dec2.gold: -------------------------------------------------------------------------------- 1 | -1 (should be -1) 2 | -------------------------------------------------------------------------------- /ivtest/gold/disp_leading_z.gold: -------------------------------------------------------------------------------- 1 | |0000000011| 2 | |11| 3 | |0000000000| 4 | |0| 5 | -------------------------------------------------------------------------------- /ivtest/gold/disp_parm.gold: -------------------------------------------------------------------------------- 1 | decimal GEORGE: 5, HARRY: 10 2 | binary GEORGE: 'b101, HARRY: 'b1010 3 | -------------------------------------------------------------------------------- /ivtest/gold/disp_part.gold: -------------------------------------------------------------------------------- 1 | 1001 2 | 0100 3 | 0010 4 | 1001 5 | 1100 6 | -------------------------------------------------------------------------------- /ivtest/gold/display_bug.gold: -------------------------------------------------------------------------------- 1 | ab 2 | a b 3 | cd 4 | c d 5 | ab 6 | a b 7 | -------------------------------------------------------------------------------- /ivtest/gold/drive_strength2.gold: -------------------------------------------------------------------------------- 1 | 1 0 1 2 | 0 0 1 3 | 1 0 1 4 | 0 0 1 5 | -------------------------------------------------------------------------------- /ivtest/gold/dumpfile-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | VCD info: dumpfile foo.vcd opened for output. 2 | ivltests/dumpfile.v:11: $finish called at 30 (1s) 3 | -------------------------------------------------------------------------------- /ivtest/gold/early_sig_elab3-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/early_sig_elab3.v:8: error: Circular dependency detected in declaration of 'a'. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/escaped_macro_name.gold: -------------------------------------------------------------------------------- 1 | simple name 2 | simple name 3 | escaped name 4 | escaped name 5 | backtick name 6 | backtick 7 | "text" 8 | "text" 9 | escaped quote 10 | -------------------------------------------------------------------------------- /ivtest/gold/fdisplay1.gold: -------------------------------------------------------------------------------- 1 | message to stdout (from $display) 2 | 3 | another message (via fwrite) to stdout 4 | (via fdisplay) 5 | a = 01011010 at 5 6 | -------------------------------------------------------------------------------- /ivtest/gold/fdisplay2.out: -------------------------------------------------------------------------------- 1 | hello, world 2 | a = 'hac = 'b10101100 3 | -------------------------------------------------------------------------------- /ivtest/gold/fdisplay3-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | ERROR: ivltests/fdisplay3.v:28: $fdisplay's file descriptor/MCD must be numeric. 2 | -------------------------------------------------------------------------------- /ivtest/gold/fdisplay_fail_fd-vlog95.gold: -------------------------------------------------------------------------------- 1 | WARNING: vlog95.v:11: invalid file descriptor (0x8000000f) given to $fdisplay(). 2 | -------------------------------------------------------------------------------- /ivtest/gold/fdisplay_fail_fd.gold: -------------------------------------------------------------------------------- 1 | WARNING: ./ivltests/fdisplay_fail_fd.v:4: invalid file descriptor (0x8000000f) given to $fdisplay(). 2 | -------------------------------------------------------------------------------- /ivtest/gold/fdisplay_fail_mcd-vlog95.gold: -------------------------------------------------------------------------------- 1 | WARNING: vlog95.v:11: invalid MCD (0x40000000) given to $fdisplay(). 2 | -------------------------------------------------------------------------------- /ivtest/gold/fdisplay_fail_mcd.gold: -------------------------------------------------------------------------------- 1 | WARNING: ./ivltests/fdisplay_fail_mcd.v:4: invalid MCD (0x40000000) given to $fdisplay(). 2 | -------------------------------------------------------------------------------- /ivtest/gold/fileio.gold: -------------------------------------------------------------------------------- 1 | From the write. 2 | From the append. 3 | -------------------------------------------------------------------------------- /ivtest/gold/fileline.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/fileline.v 2 | PASSED 3 | -------------------------------------------------------------------------------- /ivtest/gold/fileline2.gold: -------------------------------------------------------------------------------- 1 | 1 -> ./ivltests/fileline2.v:1003 2 | 2 -> imaginary-include-file:2003 3 | 3 -> ./ivltests/fileline2.v:3003 4 | PASSED 5 | -------------------------------------------------------------------------------- /ivtest/gold/final.gold: -------------------------------------------------------------------------------- 1 | x = 3, PASSED 2 | -------------------------------------------------------------------------------- /ivtest/gold/final2.gold: -------------------------------------------------------------------------------- 1 | Final in t 2 | Final in t2 3 | -------------------------------------------------------------------------------- /ivtest/gold/format-vlog95.gold: -------------------------------------------------------------------------------- 1 | >16< 2 | > 16< 3 | >010< 4 | > 010< 5 | WARNING: vlog95.v:21: missing argument for $display<%d>. 6 | 16, <%d> 7 | -------------------------------------------------------------------------------- /ivtest/gold/format.gold: -------------------------------------------------------------------------------- 1 | >16< 2 | > 16< 3 | >010< 4 | > 010< 5 | WARNING: ./ivltests/format.v:12: missing argument for $display<%d>. 6 | 16, <%d> 7 | -------------------------------------------------------------------------------- /ivtest/gold/function1.gold: -------------------------------------------------------------------------------- 1 | 0008 = sum(0003, 0005) 2 | PASSED 3 | -------------------------------------------------------------------------------- /ivtest/gold/function12.gold: -------------------------------------------------------------------------------- 1 | loop 0 2 | loop 1 3 | loop 2 4 | loop 3 5 | loop 4 6 | loop 5 7 | PASS 8 | -------------------------------------------------------------------------------- /ivtest/gold/generate_multi_loop.gold: -------------------------------------------------------------------------------- 1 | byte_value = 00010010 00110100 01010110 01111000 2 | bit_value = 00010010 00110100 01010110 01111000 3 | Test passed 4 | -------------------------------------------------------------------------------- /ivtest/gold/idiv3.gold: -------------------------------------------------------------------------------- 1 | -8 -1 2 | -------------------------------------------------------------------------------- /ivtest/gold/indef_width_concat.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/indef_width_concat.v:4: error: Concatenation operand "'sd2" has indefinite width. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/initmod2.gold: -------------------------------------------------------------------------------- 1 | z z x 1 x x x : x 1 1 : x z 2 | z z x 1 x x x : x 1 1 : x z 3 | PASSED 4 | -------------------------------------------------------------------------------- /ivtest/gold/ivlh_event.gold: -------------------------------------------------------------------------------- 1 | 1: EVENT on a 2 | 2: EVENT on b 3 | 3: EVENT on a 4 | 4: EVENT on b 5 | -------------------------------------------------------------------------------- /ivtest/gold/lh_memcat.gold: -------------------------------------------------------------------------------- 1 | 2 | << BEGIN >> 3 | 4 | << END >> 5 | -------------------------------------------------------------------------------- /ivtest/gold/long_div.gold: -------------------------------------------------------------------------------- 1 | Using normal math routines. 2 | Result: 1 3 | Modulus: 00000000 4 | 5 | Using wide math routines. 6 | Result: 1 7 | Modulus: 00000000000000000 8 | -------------------------------------------------------------------------------- /ivtest/gold/macro_replacement.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/macro_replacement.v:5: warning: redefinition of macro MACRO from value '1' to '2' 2 | -------------------------------------------------------------------------------- /ivtest/gold/macro_with_args.gold: -------------------------------------------------------------------------------- 1 | first..last first,last last..first 2 | (a )..(c ) (a,b,c) (c )..(a ) 3 | sumsqr(3,4) = 25 4 | sumsqr(5,12) = 169 5 | -------------------------------------------------------------------------------- /ivtest/gold/monitor2.gold: -------------------------------------------------------------------------------- 1 | Time = 0 a = 0 2 | Time = 1 a = 1 3 | Time = 2 a = 0 4 | -------------------------------------------------------------------------------- /ivtest/gold/negvalue.gold: -------------------------------------------------------------------------------- 1 | 8 (should be 8) 2 | -------------------------------------------------------------------------------- /ivtest/gold/nested_func.gold: -------------------------------------------------------------------------------- 1 | sum of 2 to 5 = 14 2 | sum of 3 to 6 = 18 3 | sum of 4 to 7 = 22 4 | -------------------------------------------------------------------------------- /ivtest/gold/nested_func_std.gold: -------------------------------------------------------------------------------- 1 | sum of 2 to 5 = 14 2 | sum of 3 to 6 = 18 3 | sum of 4 to 7 = 22 4 | -------------------------------------------------------------------------------- /ivtest/gold/nested_impl_event1.gold: -------------------------------------------------------------------------------- 1 | Triggered 1 at 30 2 | Triggered 2 at 50 3 | Triggered 1 at 60 4 | Triggered 2 at 70 5 | -------------------------------------------------------------------------------- /ivtest/gold/nested_impl_event2.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/nested_impl_event2.v:9: warning: @* found no sensitivities so it will never trigger. 2 | Triggered 1 at 30 3 | -------------------------------------------------------------------------------- /ivtest/gold/param-width-vlog95-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 1001 2 | 1001 3 | 0101 4 | 101 5 | -------------------------------------------------------------------------------- /ivtest/gold/param-width-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 1001 2 | 1001 3 | 0101 4 | 101 5 | -------------------------------------------------------------------------------- /ivtest/gold/param_test2.gold: -------------------------------------------------------------------------------- 1 | foo = ffffffffffffffffffffffffffffffffffffffffffffffff 2 | -------------------------------------------------------------------------------- /ivtest/gold/param_test3-iverilog-stderr.gold: -------------------------------------------------------------------------------- 1 | ivltests/param_test3.v:25: warning: ignoring 3 extra parameter override(s) for instance 'am' of module 'm' which expects 0 parameter(s). 2 | -------------------------------------------------------------------------------- /ivtest/gold/param_test3-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | PASSED 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1008.gold: -------------------------------------------------------------------------------- 1 | b = x 2 | b = 0 3 | b = 1 4 | b = 0 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr1065.gold: -------------------------------------------------------------------------------- 1 | Execution started. 2 | BBCDBBCD 3 | Execution finished. 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1077.gold: -------------------------------------------------------------------------------- 1 | one 2 | y = x 3 | one 4 | y = 1 5 | one 6 | y = 1 7 | -------------------------------------------------------------------------------- /ivtest/gold/pr1403406.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top) is 1s / 1s 2 | Time scale of (other) is 1ms / 1ms 3 | Time scale of (other2) is 1s / 1s 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1403406a.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top) is 1ns / 1ns 2 | Time scale of (other) is 1ms / 1ms 3 | Time scale of (other2) is 1ns / 1ns 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1476440.gold: -------------------------------------------------------------------------------- 1 | tval = 0 2 | tval = 2 3 | tval = 6 4 | tval = 10 5 | tval = 14 6 | tval = 18 7 | -------------------------------------------------------------------------------- /ivtest/gold/pr1574175.gold: -------------------------------------------------------------------------------- 1 | Both of these should be the same (3): 3, 3 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1628300.gold: -------------------------------------------------------------------------------- 1 | sin(2) is not really 3.14159 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1629683.gold: -------------------------------------------------------------------------------- 1 | Printing the byte 01010101 with a header. 2 | Bad - 1x01010101, ok - 1x01010101. 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1634526.gold: -------------------------------------------------------------------------------- 1 | Working: This (255) should be 255. 2 | Broken: This (255) should be 255 3 | Broken: This (255) should be 255. 4 | started with 256. 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr1638985.gold: -------------------------------------------------------------------------------- 1 | Hello, World 2 | Positive x is 1.000000 3 | -1.0 * x is -1.000000 4 | 0.0 - x is -1.000000 5 | -x is -1.000000 6 | -------------------------------------------------------------------------------- /ivtest/gold/pr1639060.gold: -------------------------------------------------------------------------------- 1 | 1. The result is 10.0 2 | 2. The result is 10.0 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1639064.gold: -------------------------------------------------------------------------------- 1 | 1. The value is 100 2 | 2. The value is 100 3 | 3. The value is 100 4 | 4. The value is 100 5 | 5. The value is 100 6 | -------------------------------------------------------------------------------- /ivtest/gold/pr1639064b.gold: -------------------------------------------------------------------------------- 1 | 1. The value is 100000000 2 | 2. The value is 100000000 3 | 3. The value is 100 4 | 4. The value is 100 5 | 5. The value is 276447232 6 | -------------------------------------------------------------------------------- /ivtest/gold/pr1639968.gold: -------------------------------------------------------------------------------- 1 | 0 rf[0] is ffff ffff 2 | 10 rf[0] is 0000 0000 3 | 20 rf[0] is beef beef 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1639971.gold: -------------------------------------------------------------------------------- 1 | 0 rf and slice: ffff f 2 | 10 rf and slice: 0000 0 3 | 20 rf and slice: beef f 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1645277.gold: -------------------------------------------------------------------------------- 1 | expected 5; got 5 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1648365.gold: -------------------------------------------------------------------------------- 1 | 0 w0=xxxxxxxx, w1=xxxxxxxx 2 | 31 w0=19999999, w1=2aaaaaaa 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1664684.gold: -------------------------------------------------------------------------------- 1 | 0 x x0 x 0 2 | 40 0 00 0 0 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1688717.gold: -------------------------------------------------------------------------------- 1 | Orig = 123456, Second = 34, Minus Indexed = 34, Plus Indexed = 34 2 | Orig = 123456, Second = 34, Minus Indexed = 34, Plus Indexed = 34 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1698499.gold: -------------------------------------------------------------------------------- 1 | reset 2 | reset done 3 | OK: 662372300238342615234 * -6 + -662372300237642615234 == -4636606101667698306638 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1698658.gold: -------------------------------------------------------------------------------- 1 | The time is 0 uS 2 | The time is 1 uS 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1699444.gold: -------------------------------------------------------------------------------- 1 | Output a slash \. 2 | Output a double slash \\. 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1699519.gold: -------------------------------------------------------------------------------- 1 | Checking h and H: a5, a5 2 | Checking x and X: a5, a5 3 | Checking g and G: 1.23457e+09, 1.23457E+09 4 | Checking e and E: 1.234568e+09, 1.234568E+09 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr1701890-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | rval1=1.00000 rval2=2.00000 rtval=1.00000 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1702593.gold: -------------------------------------------------------------------------------- 1 | The value is -1.00 2 | The value is -1.00 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1735836.gold: -------------------------------------------------------------------------------- 1 | 0 out=z 2 | 1 out=1 3 | 2 out=2 4 | 3 out=z 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr1752823a.gold: -------------------------------------------------------------------------------- 1 | +0=0.000000 and -0=-0.000000. 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1752823b.gold: -------------------------------------------------------------------------------- 1 | +0=0.000000, -0=-0.000000, inf=inf and minf=-inf. 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1758122.gold: -------------------------------------------------------------------------------- 1 | x + x = x 2 | 0 + 2 = 2 3 | 1 + 3 = 4 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1758135.gold: -------------------------------------------------------------------------------- 1 | 1 2 | 2 3 | 3 4 | 4 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr1770199.gold: -------------------------------------------------------------------------------- 1 | The strength is: Pu1: 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1771903.gold: -------------------------------------------------------------------------------- 1 | Real :1.23456: has a width of 1. 2 | Parameter real :1.23456: has a width of 1. 3 | Real constant :1.23456: has a width of 1. 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1780480.gold: -------------------------------------------------------------------------------- 1 | 0 a(0) 2 | 20 a(1) 3 | 40 a(0) 4 | 60 a(1) 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr1787423.gold: -------------------------------------------------------------------------------- 1 | 00 11 00 11 0 2 | 11 00 00 11 1 3 | 00 11 00 11 0 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1792108.gold: -------------------------------------------------------------------------------- 1 | 0 y1 = x, y2 = x, y3 = x, a = x 2 | 1 y1 = 1, y2 = 1, y3 = 1, a = 1 3 | 2 y1 = 0, y2 = 0, y3 = 0, a = 0 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1792152.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr1792152.v:2: warning: Choosing typ expression. 2 | 2 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1793157.gold: -------------------------------------------------------------------------------- 1 | x1: abcde; x2: ffffffff 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1793749.gold: -------------------------------------------------------------------------------- 1 | 9 2 | 32 3 | 9 4 | 9 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr1793749b.gold: -------------------------------------------------------------------------------- 1 | i, j, k, l: '10100', '11110100', '11110100', '11110100' 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1795005a.gold: -------------------------------------------------------------------------------- 1 | < : N 2 | <=: Y 3 | > : N 4 | >=: Y 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr1795005b.gold: -------------------------------------------------------------------------------- 1 | < : N 2 | <=: N 3 | > : Y 4 | >=: Y 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr1799904.gold: -------------------------------------------------------------------------------- 1 | 00000000 00000001 00000002 00000003 00000004 00000005 00000006 00000007 2 | 00000000 00000001 00000002 00000003 00000004 00000005 00000006 00000007 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1804877.gold: -------------------------------------------------------------------------------- 1 | String is test_counter 2 | String is test_counter 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1805837.gold: -------------------------------------------------------------------------------- 1 | Should be: 2 | x01 x10 x0z x1z xz1 xz0 x0z0 xxx 3 | x01 x10 x0z x1z xz1 xz0 x0z0 xxx 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1820472.gold: -------------------------------------------------------------------------------- 1 | out[0]: zz0110 2 | out[1]: 000010 3 | out[2]: xx01xx 4 | out[3]: xx10xx 5 | out[1]-0: xxxxxx 6 | out[1]-1: 000010 7 | out[1]-2: xx01xx 8 | out[1]-3: xx10xx 9 | -------------------------------------------------------------------------------- /ivtest/gold/pr1823732.gold: -------------------------------------------------------------------------------- 1 | i is '1'; j is '111'; k is '0' 2 | runtime ; j is '111'; k is '0' 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1828642.gold: -------------------------------------------------------------------------------- 1 | 01 2 | 02 3 | 03 4 | 04 5 | 11 6 | 12 7 | 13 8 | 14 9 | 21 10 | 22 11 | 23 12 | 24 13 | 31 14 | 32 15 | 33 16 | 34 17 | -------------------------------------------------------------------------------- /ivtest/gold/pr1831724.gold: -------------------------------------------------------------------------------- 1 | tmp1: '0000000xxx000000'; tmp2: '0000000xxx000000' 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1841300.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr1841300.v:15: warning: Scalar port ``l'' has a vectored net declaration [4:0]. 2 | a is '14'; b is 'fffffff4'; c is 'fffffff4' 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1845683.gold: -------------------------------------------------------------------------------- 1 | res1: '00101010'; res2: '00101010'; res3: '00101010' 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1851310.gold: -------------------------------------------------------------------------------- 1 | Flag1 = 1, FlagI = 1 2 | Flag1 = 0, FlagI = 0 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1855504.gold: -------------------------------------------------------------------------------- 1 | op1 = 0da0, op2 = 0a, prod = 0640 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1861212.gold: -------------------------------------------------------------------------------- 1 | Real value is 3.300000 at 0 2 | Real value is 4.577600 at 1000 3 | Real value is -4.000000 at 2000 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1864110a-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 3.00000 -3.00000 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1864110b-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | -3.00000 3.00000 2 | -4.00000 4.00000 3 | -6.00000 6.00000 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1864110c.gold: -------------------------------------------------------------------------------- 1 | 0 0000000000000000 2 | 2 3fffffffffffffff 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1864115-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | 0.00000 0 0.00000 2 | 2.00000 4611686018427387904 2.00000 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1867161a.gold: -------------------------------------------------------------------------------- 1 | Value[0]: 2 2 | Value[1]: 3 3 | Value[2]: 4 4 | Value[3]: 5 5 | Value[4]: 6 6 | Value[5]: 7 7 | Value[6]: 8 8 | -------------------------------------------------------------------------------- /ivtest/gold/pr1867161b.gold: -------------------------------------------------------------------------------- 1 | 0 8 2 | 1 8 3 | 1 9 4 | 2 9 5 | 2 10 6 | 3 10 7 | -------------------------------------------------------------------------------- /ivtest/gold/pr1873372.gold: -------------------------------------------------------------------------------- 1 | big: 1e+20, small: 1e-20, precision: 0.12345678900 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1876798.gold: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 2 4 | 3 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr1885847.gold: -------------------------------------------------------------------------------- 1 | P3 = 32 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1898983.gold: -------------------------------------------------------------------------------- 1 | In top.sm[0] at 1 2 | In top.sm[1] at 2 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1903343.gold: -------------------------------------------------------------------------------- 1 | OK: 256 2 | Main: 4 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1912112.gold: -------------------------------------------------------------------------------- 1 | The `test definition is: `define Hello World 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1936363.gold: -------------------------------------------------------------------------------- 1 | x x 2 | 42 21 3 | x x 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr1949025.gold: -------------------------------------------------------------------------------- 1 | 0 00000040 2 | 1 00000020 3 | 2 00000010 4 | 3 00000008 5 | 4 00000004 6 | 5 00000002 7 | 6 00000001 8 | -------------------------------------------------------------------------------- /ivtest/gold/pr1960545.gold: -------------------------------------------------------------------------------- 1 | B is 1 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1960548.gold: -------------------------------------------------------------------------------- 1 | B`x 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1960558.gold: -------------------------------------------------------------------------------- 1 | expected 1; got 1 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1960575.gold: -------------------------------------------------------------------------------- 1 | expected x; got x 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr1960596.gold: -------------------------------------------------------------------------------- 1 | expected 32'h55555552; got 32'h55555552 2 | expected 1; got 1 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1963240.gold: -------------------------------------------------------------------------------- 1 | expected 52; got 52 2 | expected fffffff7; got fffffff7 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr1963962.gold: -------------------------------------------------------------------------------- 1 | VCD info: dumpfile work/dumptest.vcd opened for output. 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr2029336.gold: -------------------------------------------------------------------------------- 1 | # x = 1073741824 2 | # x = 2147483648 3 | # x = 4294967296 4 | 0 5 | 1073741824 6 | 2147483648 7 | 4294967296 8 | -------------------------------------------------------------------------------- /ivtest/gold/pr2043585_std.gold: -------------------------------------------------------------------------------- 1 | 1 2 | 2 3 | 3 4 | 1 5 | 2 6 | 3 7 | 0 8 | 2 9 | 3 10 | 0 11 | 1 12 | 3 13 | 0 14 | 1 15 | 2 16 | -------------------------------------------------------------------------------- /ivtest/gold/pr2053944.gold: -------------------------------------------------------------------------------- 1 | 1 2 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr2076391.gold: -------------------------------------------------------------------------------- 1 | iindex[0] = -1 2 | rindex[0] = -1 3 | windex[0] = -1 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr2091455.gold: -------------------------------------------------------------------------------- 1 | I am in main.X, case foo=2 2 | I am in main.genblk1, case foo=2 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr2119622.gold: -------------------------------------------------------------------------------- 1 | 1 << 32 = 0000000000000000000000000000000100000000000000000000000000000000 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr2132552.gold: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 2 4 | 3 5 | 4 6 | 5 7 | 6 8 | 7 9 | 8 10 | 9 11 | 10 12 | 11 13 | 12 14 | 13 15 | 14 16 | 15 17 | -------------------------------------------------------------------------------- /ivtest/gold/pr2136787.gold: -------------------------------------------------------------------------------- 1 | a = 1010 2 | b = 0000 3 | y = 11111010 4 | z = 11111010 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr2138979c.gold: -------------------------------------------------------------------------------- 1 | a = 10110110 2 | y = 1111111110110110 3 | z = 1111111110110110 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr2146620.gold: -------------------------------------------------------------------------------- 1 | 0 2 | 128 3 | 0 4 | 128 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr2146620b.gold: -------------------------------------------------------------------------------- 1 | 5 2 | 4 3 | 3 4 | 2 5 | 1 6 | 0 7 | -------------------------------------------------------------------------------- /ivtest/gold/pr2146824.gold: -------------------------------------------------------------------------------- 1 | 'hfe 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr2159630.gold: -------------------------------------------------------------------------------- 1 | Var -4 vs signed(concat) -4 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr2169870.gold: -------------------------------------------------------------------------------- 1 | 0 2 | 0 3 | 1 4 | 1 5 | 2 6 | 2 7 | 3 8 | 3 9 | 4 10 | 4 11 | 5 12 | 5 13 | 6 14 | 6 15 | 7 16 | 7 17 | 8 18 | 8 19 | 9 20 | 9 21 | -------------------------------------------------------------------------------- /ivtest/gold/pr2248925.gold: -------------------------------------------------------------------------------- 1 | 1500 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr2251119.gold: -------------------------------------------------------------------------------- 1 | PASSED: 1100 < 1000 false branch taken 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr2251119_std.gold: -------------------------------------------------------------------------------- 1 | PASSED: 1100 < 1000 false branch taken 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr2486350.gold: -------------------------------------------------------------------------------- 1 | simtime= 10000000000000000 (2386f26fc10000) 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr2715748.gold: -------------------------------------------------------------------------------- 1 | Real -1, Realtime 1 2 | Real as int -1, Realtime as int 1 3 | Real net 2 4 | Real net as int 2 5 | Passed %f put 6 | Passed %d put 7 | -------------------------------------------------------------------------------- /ivtest/gold/pr2809288.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr2809288.v:5: error: genvar is missing for generate "loop" variable 'i'. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr2815398a.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr2815398a.v:65: warning: returning 'bx for out of bounds array access dummy[7]. 2 | PASSED 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr2823414.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr2823414.v:10: error: Enable of unknown task ``fail_at_line_10''. 2 | Elaboration failed 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr2877564.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr2877564.v:2: error: Unable to bind parameter `ASDF' in `testbench' 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr2924354.gold: -------------------------------------------------------------------------------- 1 | foo=1, bar=1 2 | foo=1, bar=1 3 | foo=1, bar=1 4 | foo=1, bar=1 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr2972866.gold: -------------------------------------------------------------------------------- 1 | 0.000000 x 0 2 | 0.690000 0 0 3 | 10.000000 0 1 4 | 10.730000 1 1 5 | 20.000000 1 0 6 | 20.690000 0 0 7 | Simulation ran correctly. 8 | -------------------------------------------------------------------------------- /ivtest/gold/pr298.gold: -------------------------------------------------------------------------------- 1 | 00 2 | 00 3 | 11 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr3015421-fsv.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr3015421.v:12: syntax error 2 | I give up. 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr3015421.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr3015421.v:7: syntax error 2 | ./ivltests/pr3015421.v:7: error: Syntax error defining function. 3 | ./ivltests/pr3015421.v:16: syntax error 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr3039548.gold: -------------------------------------------------------------------------------- 1 | 00000001100000000010000000 2 | 00000001100000000010000000 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr3149494.gold: -------------------------------------------------------------------------------- 1 | x = 1 2 | y = 111111111 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr3194155_std.gold: -------------------------------------------------------------------------------- 1 | x(1) : 0 2 | x(2) : 1 3 | x(3) : 0 4 | x(4) : 0 5 | x(5) : 0 6 | y : 0 7 | z : 0 8 | -------------------------------------------------------------------------------- /ivtest/gold/pr3366217a.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr3366217a.v:3: error: Enumeration name some0 has a value that is too large 32'sd100. 2 | 2 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr3366217f.gold: -------------------------------------------------------------------------------- 1 | First: -1 2 | Second: -2 3 | Third: -3 4 | Wrapped: -1 5 | Wrapped: -3 6 | As integer: -3 7 | Compile: -1 8 | -------------------------------------------------------------------------------- /ivtest/gold/pr3441576.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr3441576.v:3: warning: @* found no sensitivities so it will never trigger. 2 | foo is x 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr3499807.gold: -------------------------------------------------------------------------------- 1 | 0 0 x x 2 | 100 0 0 x 3 | 200 0 0 0 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr3571573.gold: -------------------------------------------------------------------------------- 1 | zzz01z 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr377.gold: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 2 4 | 3 5 | 0 6 | 1 7 | 2 8 | 3 9 | -------------------------------------------------------------------------------- /ivtest/gold/pr487.gold: -------------------------------------------------------------------------------- 1 | async_wrport[ 1] --> 1 2 | async_wrport[ 2] --> 1 3 | async_wrport[ 3] --> 0 4 | async_wrport[ 4] --> 0 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr498b.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/pr498b.v:23: error: parameter `foo` not found in `main`. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr522.gold: -------------------------------------------------------------------------------- 1 | 2 | ***** simple block disable PASSED ***** 3 | ***** complex block & loop disable PASSED ***** 4 | 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr524.gold: -------------------------------------------------------------------------------- 1 | 0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx 2 | 0 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr530.gold: -------------------------------------------------------------------------------- 1 | here 2 | in top, time: 0.000000ns 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr538.gold: -------------------------------------------------------------------------------- 1 | 2 | ********************************************** 3 | ********** timescale test PASSED ************* 4 | ********************************************** 5 | 6 | -------------------------------------------------------------------------------- /ivtest/gold/pr540c.gold: -------------------------------------------------------------------------------- 1 | ***** disable test PASSED ***** 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr542.gold: -------------------------------------------------------------------------------- 1 | d_pm_in_dac_st = 1 2 | d_pm_in_dac_st = 1 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr547.gold: -------------------------------------------------------------------------------- 1 | A = 3ff, b = x 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr569.gold: -------------------------------------------------------------------------------- 1 | 010101010101010101010101 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr572.gold: -------------------------------------------------------------------------------- 1 | at 10: toplevel event triggered 2 | at 25: local event triggered 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr584.gold: -------------------------------------------------------------------------------- 1 | 20 2 | 20 3 | 20 4 | 20 5 | 20 6 | -------------------------------------------------------------------------------- /ivtest/gold/pr584_std.gold: -------------------------------------------------------------------------------- 1 | 20 2 | 20 3 | 20 4 | 20 5 | 20 6 | -------------------------------------------------------------------------------- /ivtest/gold/pr622.gold: -------------------------------------------------------------------------------- 1 | macro FOO = bar 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr673.gold: -------------------------------------------------------------------------------- 1 | init right 2 | right 10 10 3 | bye. 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr729.gold: -------------------------------------------------------------------------------- 1 | p_real=1.234500, v_real=1.234500, v_real_x2=2.469000 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr751_std.gold: -------------------------------------------------------------------------------- 1 | 0 xxxx[xx]: x 2 | 10 0100[xx]: x 3 | 20 0100[00]: 0 4 | 30 0100[01]: 1 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr812.gold: -------------------------------------------------------------------------------- 1 | tp = 10.000000, tp2 = 10.000000 2 | tp == 10, (expected) 3 | tp = 1 in top 4 | tp = 10.000000, tp2 = 10.000000 5 | -------------------------------------------------------------------------------- /ivtest/gold/pr902.gold: -------------------------------------------------------------------------------- 1 | 0 0 0 0 2 | -------------------------------------------------------------------------------- /ivtest/gold/pr905.gold: -------------------------------------------------------------------------------- 1 | 00000063 2 | 00000000143 3 | 00000000000000000000000001100011 4 | -------------------------------------------------------------------------------- /ivtest/gold/pr910.gold: -------------------------------------------------------------------------------- 1 | 0000 00 2 | 1000 00 3 | 0000 00 4 | 1000 00 5 | 0000 00 6 | -------------------------------------------------------------------------------- /ivtest/gold/pr938.gold: -------------------------------------------------------------------------------- 1 | A B S out 2 | 0 0 0 --> 0 3 | 1 0 0 --> 1 4 | 0 1 0 --> 0 5 | 1 1 0 --> 1 6 | 0 0 1 --> 0 7 | 1 0 1 --> 0 8 | 0 1 1 --> 1 9 | 1 1 1 --> 1 10 | -------------------------------------------------------------------------------- /ivtest/gold/pr985.gold: -------------------------------------------------------------------------------- 1 | GRANDCHILD parameters are: 00000008 10 1f 2 | CHILD parameters are: 00000008 10 1f 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr987_std.gold: -------------------------------------------------------------------------------- 1 | Test thread runs. 2 | Test thread runs. 3 | -------------------------------------------------------------------------------- /ivtest/gold/pr991.gold: -------------------------------------------------------------------------------- 1 | 0 2 | 0 3 | 1 4 | 0 5 | 1 6 | -------------------------------------------------------------------------------- /ivtest/gold/real5.gold: -------------------------------------------------------------------------------- 1 | max foo period( posedge FOOCLK:0.050ns, 40000 : 0.000ns ); 2 | -------------------------------------------------------------------------------- /ivtest/gold/real_delay.gold: -------------------------------------------------------------------------------- 1 | 0.000 00000000 000 00000000 00000000 2 | 1.200 00000000 000 00000000 11111111 3 | 1.230 00000000 000 11111111 11111111 4 | 1.234 11111111 111 11111111 11111111 5 | -------------------------------------------------------------------------------- /ivtest/gold/recursive_func.gold: -------------------------------------------------------------------------------- 1 | factorial 3 = 6 2 | factorial 4 = 24 3 | factorial 5 = 120 4 | factorial 6 = 720 5 | factorial 7 = 5040 6 | factorial 8 = 40320 7 | -------------------------------------------------------------------------------- /ivtest/gold/recursive_func_const.gold: -------------------------------------------------------------------------------- 1 | factorial 3 = 6 2 | factorial 4 = 24 3 | factorial 5 = 120 4 | -------------------------------------------------------------------------------- /ivtest/gold/resetall2.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top_timescale) is 1us / 1us 2 | Time scale of (top_timescale2) is 1ns / 1ns 3 | -------------------------------------------------------------------------------- /ivtest/gold/resetall2_std.gold: -------------------------------------------------------------------------------- 1 | Time scale of (top_timescale) is 1us / 1us 2 | Time scale of (top_timescale2) is 1ns / 1ns 3 | -------------------------------------------------------------------------------- /ivtest/gold/shift1.gold: -------------------------------------------------------------------------------- 1 | 02 55 55 2 | 80 00 00 3 | 02 55 55 4 | -------------------------------------------------------------------------------- /ivtest/gold/shift5.gold: -------------------------------------------------------------------------------- 1 | PASS: 32'sh80000000 >>> 6'd32 = 0xffffffff 2 | -------------------------------------------------------------------------------- /ivtest/gold/signed10.gold: -------------------------------------------------------------------------------- 1 | foo=-2 bar=254 $signed(bar)=-2 2 | -------------------------------------------------------------------------------- /ivtest/gold/signed12.gold: -------------------------------------------------------------------------------- 1 | 11111111 2 | -------------------------------------------------------------------------------- /ivtest/gold/signed4.gold: -------------------------------------------------------------------------------- 1 | x = 3 (should be 3) 2 | y = -3 (should be -3) 3 | x = 253 (should be 253) 4 | -------------------------------------------------------------------------------- /ivtest/gold/sp2.inv: -------------------------------------------------------------------------------- 1 | # captured from: fo 2 | 3 | -------------------------------------------------------------------------------- /ivtest/gold/string11.gold: -------------------------------------------------------------------------------- 1 | bytes=4142430a 2 | -------------------------------------------------------------------------------- /ivtest/gold/string4.gold: -------------------------------------------------------------------------------- 1 | hi 2 | Mes1 3 | -------------------------------------------------------------------------------- /ivtest/gold/string5.gold: -------------------------------------------------------------------------------- 1 | Hello world of Verilog 2 | -------------------------------------------------------------------------------- /ivtest/gold/string8.gold: -------------------------------------------------------------------------------- 1 | ============================ myReg14 = 33*256+65 2 | >|!A| 3 | *|!A| 4 | >|!| 5 | *|!| 6 | ============================ myReg16 = 33*512+65*2 7 | >|!A| 8 | *|!A| 9 | -------------------------------------------------------------------------------- /ivtest/gold/sv_macro2.gold: -------------------------------------------------------------------------------- 1 | left side: "right side" 2 | -------------------------------------------------------------------------------- /ivtest/gold/sv_macro3.gold: -------------------------------------------------------------------------------- 1 | ` 2 | my_prefix_my_suffix 3 | my_prefix_my_suffix 4 | -------------------------------------------------------------------------------- /ivtest/gold/sv_new_array_error.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/sv_new_array_error.v:3: error: The new array constructor may only be used in an assignment to a dynamic array. 2 | Elaboration failed 3 | -------------------------------------------------------------------------------- /ivtest/gold/sv_pkg_class.gold: -------------------------------------------------------------------------------- 1 | This is class 2. 2 | This is class 1. 3 | -------------------------------------------------------------------------------- /ivtest/gold/sv_root_class.gold: -------------------------------------------------------------------------------- 1 | This is class 2. 2 | This is class 1. 3 | -------------------------------------------------------------------------------- /ivtest/gold/sv_root_func.gold: -------------------------------------------------------------------------------- 1 | this is func 2. 2 | this is func 1. 3 | -------------------------------------------------------------------------------- /ivtest/gold/sv_root_task.gold: -------------------------------------------------------------------------------- 1 | This is task 2. 2 | This is task 1. 3 | -------------------------------------------------------------------------------- /ivtest/gold/sv_timeunit_prec3c.gold: -------------------------------------------------------------------------------- 1 | Time scale of (gtp_ltu1) is 1ns / 10ps 2 | Time scale of (gtp_ltu2) is 1us / 10ps 3 | -------------------------------------------------------------------------------- /ivtest/gold/sv_timeunit_prec3d.gold: -------------------------------------------------------------------------------- 1 | Time scale of (gtu_ltp1) is 10s / 10ps 2 | Time scale of (gtu_ltp2) is 10s / 1ns 3 | -------------------------------------------------------------------------------- /ivtest/gold/sv_timeunit_prec4b.gold: -------------------------------------------------------------------------------- 1 | Time scale of (check_tup_nest) is 10us / 10us 2 | Time scale of (check_tup_nest.nested) is 100us / 1us 3 | -------------------------------------------------------------------------------- /ivtest/gold/sv_unit1c.gold: -------------------------------------------------------------------------------- 1 | test1 macro1 = 1 2 | test1 macro2 = 12 3 | test1 macro3 = 13 4 | test1 wire = 1 5 | test2 macro1 = 1 6 | test2 macro2 = 2 7 | test2 macro3 = 23 8 | test2 wire = z 9 | -------------------------------------------------------------------------------- /ivtest/gold/tern3.gold: -------------------------------------------------------------------------------- 1 | (Start) 2 | (Start) 3 | $bits == 40 4 | $bits == 40 5 | -------------------------------------------------------------------------------- /ivtest/gold/time6c.gold: -------------------------------------------------------------------------------- 1 | 3 3.4 set out1 == 1 2 | 4 3.6 set out2 == 1 3 | -------------------------------------------------------------------------------- /ivtest/gold/timeform1.gold: -------------------------------------------------------------------------------- 1 | $time = 3 (unformatted) 2 | $time = 0.003000ns (-6,6) 3 | $time = 0.0ns (-6,1) 4 | -------------------------------------------------------------------------------- /ivtest/gold/timeform2.gold: -------------------------------------------------------------------------------- 1 | time within module: 1.000ns 2 | time within task: 1.000ns 3 | -------------------------------------------------------------------------------- /ivtest/gold/undef.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/undef.v:23: warning: macro a undefined (and assumed null) at this point. 2 | PASSED 3 | -------------------------------------------------------------------------------- /ivtest/gold/unnamed_generate_block.gold: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 2 4 | 3 5 | -------------------------------------------------------------------------------- /ivtest/gold/uwire_fail.gold: -------------------------------------------------------------------------------- 1 | ./ivltests/uwire_fail.v:5: error: Unresolved wire 'two' cannot have multiple drivers. 2 | 1 error(s) during elaboration. 3 | -------------------------------------------------------------------------------- /ivtest/gold/vhdl_concurrent_assert.gold: -------------------------------------------------------------------------------- 1 | ** Note: this assert should be fired (ivltests/vhdl_concurrent_assert.vhd:32) 2 | -------------------------------------------------------------------------------- /ivtest/gold/vhdl_lfcr.gold: -------------------------------------------------------------------------------- 1 | ** Note: first line 2 | after LFrafter CR (ivltests/vhdl_lfcr.vhd:32) 3 | -------------------------------------------------------------------------------- /ivtest/gold/vhdl_mux2.gold: -------------------------------------------------------------------------------- 1 | 1 2 | 0 3 | 1 4 | -------------------------------------------------------------------------------- /ivtest/gold/vhdl_wait.gold: -------------------------------------------------------------------------------- 1 | ** Note: final wait test (ivltests/vhdl_wait.vhd:33) 2 | ** Note: wait 1 completed (ivltests/vhdl_wait.vhd:39) 3 | wait 1 acknowledged 4 | -------------------------------------------------------------------------------- /ivtest/gold/vvp_quiet_mode-vvp-stderr.gold: -------------------------------------------------------------------------------- 1 | This should be suppressed 2 | This should be suppressed 3 | ivltests/vvp_quiet_mode.v:7: $finish(1) called at 0 (1s) 4 | -------------------------------------------------------------------------------- /ivtest/gold/vvp_quiet_mode-vvp-stdout.gold: -------------------------------------------------------------------------------- 1 | This should be displayed 2 | -------------------------------------------------------------------------------- /ivtest/gold/wiresl2.gold: -------------------------------------------------------------------------------- 1 | out=01 2 | out=02 3 | out=04 4 | out=08 5 | out=10 6 | out=20 7 | out=40 8 | out=80 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/always_ff_no_sens.v: -------------------------------------------------------------------------------- 1 | module test; 2 | logic y; 3 | 4 | always_ff begin 5 | y = 1'b0; 6 | end 7 | 8 | initial $display("FAILED"); 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/br1027a.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | task t(a, b); 4 | $display(a,,b); 5 | endtask 6 | 7 | initial t(0, 1); 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/br1027b.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | task t(a, b); 4 | $display(a,,b); 5 | endtask 6 | 7 | initial t(0, 1); 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/br1027c.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | task t(integer a, b); 4 | $display(a,,b); 5 | endtask 6 | 7 | initial t(0, 1); 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/br1027d.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | task t(integer a, b); 4 | $display(a,,b); 5 | endtask 6 | 7 | initial t(0, 1); 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/br1027e.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | task t(input integer a, integer b); 4 | $display(a,,b); 5 | endtask 6 | 7 | initial t(0, 1); 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/br1027f.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | task t(input integer a, integer b); 4 | $display(a,,b); 5 | endtask 6 | 7 | initial t(0, 1); 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/br1029c.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | reg [7:0] ival = $signed(1.0); 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1087a.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | wire real r; 4 | 5 | assign r = 1.0; 6 | assign r = 2.0; 7 | 8 | endmodule 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1087b.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | wire bool [7:0] b; 4 | 5 | assign b = 8'h11; 6 | assign b = 8'h22; 7 | 8 | endmodule 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1087c.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | wire bit [7:0] b; 4 | 5 | assign b = 8'h11; 6 | assign b = 8'h22; 7 | 8 | endmodule 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1104_src1.v: -------------------------------------------------------------------------------- 1 | module test; 2 | 3 | initial $display("FAILED"); 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1104_src2.v: -------------------------------------------------------------------------------- 1 | `include "non-existant.v" 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1175a.v: -------------------------------------------------------------------------------- 1 | primitive id_0(output id_2, input id_1); 2 | table 3 | ? 1 ? 0 0 0 : 0; 4 | endtable 5 | endprimitive 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1175b.v: -------------------------------------------------------------------------------- 1 | primitive id_0(output reg id_2, input id_1); 2 | table 3 | ? 1 ? 0 0 0 : 0 : 0; 4 | endtable 5 | endprimitive 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1175c.v: -------------------------------------------------------------------------------- 1 | primitive id_0(output id_2, input id_1); 2 | table 3 | 0 : 0 0; 4 | endtable 5 | endprimitive 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1175d.v: -------------------------------------------------------------------------------- 1 | primitive id_0(output reg id_2, input id_1); 2 | table 3 | 0 : 0 0 : 0; 4 | endtable 5 | endprimitive 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1175e.v: -------------------------------------------------------------------------------- 1 | primitive id_0(output reg id_2, input id_1); 2 | table 3 | 0 : 0 : 0 0; 4 | endtable 5 | endprimitive 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1225a.v: -------------------------------------------------------------------------------- 1 | module test; 2 | genvar gv1; 3 | 4 | // initialization and increment genvars do not match 5 | for (genvar gv2 = -1; -1; gv1 = -1); 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh1242.v: -------------------------------------------------------------------------------- 1 | module test; 2 | 3 | // synthesis translate_on 4 | // synthesis translate_off 5 | 6 | initial $finish(1); 7 | 8 | endmodule 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh142.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | parameter y = 1; 4 | parameter a = 0; 5 | 6 | parameter x = y ? a : b; 7 | 8 | endmodule 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh152.v: -------------------------------------------------------------------------------- 1 | module d(); 2 | nand n2(w1, 3 | 4 | nand n1(w2); 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh230.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | reg [7:0] array[3:0][3:0]; 4 | 5 | initial begin 6 | $dumpvars(0, array[0][0][0]); 7 | end 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh377.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | parameter name = 1; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh386c.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | typedef enum { a, b, c } enum_type; 4 | 5 | enum_type enum_value; 6 | 7 | assign enum_value = 1; 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh484.vh: -------------------------------------------------------------------------------- 1 | initial #1 $display("PASSED"); 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh4a.v: -------------------------------------------------------------------------------- 1 | module test; 2 | 3 | initial fork 4 | reg a; 5 | a = 1'b0; 6 | $display("PASSED"); 7 | join 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh530.v: -------------------------------------------------------------------------------- 1 | module dut(a,); 2 | 3 | input wire a; 4 | 5 | endmodule 6 | 7 | module top; 8 | 9 | wire a; 10 | 11 | dut i(.*); 12 | 13 | endmodule 14 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh782a.vi: -------------------------------------------------------------------------------- 1 | $display("Included file %s line %0d", `__FILE__, `__LINE__); 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_gh823a.v: -------------------------------------------------------------------------------- 1 | // Testcase for Issue #823 on Github 2 | 3 | module test; 4 | struct packed {logic a;} s; 5 | assign s.a = 1; 6 | assign s.c = 1; 7 | endmodule 8 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_ml20181012a.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | reg [0] illegal; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_ml20181012b.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | reg [] illegal; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_ml20181012c.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | reg [$] illegal; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/br_ml20181012d.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | reg illegal[0]; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/check_constant_1.v: -------------------------------------------------------------------------------- 1 | module top_module(); 2 | 3 | integer Value1; 4 | 5 | parameter Value2 = Value1; 6 | 7 | endmodule 8 | -------------------------------------------------------------------------------- /ivtest/ivltests/check_constant_3.v: -------------------------------------------------------------------------------- 1 | module top_module(); 2 | 3 | integer Value1; 4 | 5 | integer Value2 = Value1; 6 | 7 | initial $display("PASSED"); 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/check_constant_4.v: -------------------------------------------------------------------------------- 1 | module top_module(); 2 | 3 | integer N; 4 | 5 | (* attr = N *) 6 | initial $display(N); 7 | 8 | endmodule 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/comment1.v: -------------------------------------------------------------------------------- 1 | /* )* */ 2 | /* (* /* *) */ 3 | module test(); 4 | initial $display("PASSED"); 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/decl_before_use3.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | initial begin 4 | ->e; 5 | $display("FAILED"); 6 | end 7 | 8 | event e; 9 | 10 | endmodule 11 | -------------------------------------------------------------------------------- /ivtest/ivltests/enum_test4.v: -------------------------------------------------------------------------------- 1 | module top; 2 | enum bit [3:0] {first, second, third, fourth, last = -4'sd1} my_type; 3 | initial $display("PASSED"); 4 | endmodule 5 | -------------------------------------------------------------------------------- /ivtest/ivltests/eofmt_percent.v: -------------------------------------------------------------------------------- 1 | module top; 2 | initial $display("The following should be a single percent: %"); 3 | endmodule 4 | -------------------------------------------------------------------------------- /ivtest/ivltests/extra_semicolon.v: -------------------------------------------------------------------------------- 1 | module top; 2 | initial begin 3 | $display("PASSED"); 4 | end; 5 | endmodule; 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/fdisplay_fail_fd.v: -------------------------------------------------------------------------------- 1 | module top; 2 | initial begin 3 | // This will fail at run time. 4 | $fdisplay(32'h8000_000f, "write to invalid FD"); 5 | end 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/fdisplay_fail_mcd.v: -------------------------------------------------------------------------------- 1 | module top; 2 | initial begin 3 | // This will fail at run time. 4 | $fdisplay(32'h4000_0000, "write to invalid MCD"); 5 | end 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/final2.v: -------------------------------------------------------------------------------- 1 | module t; 2 | final $display("Final in %m"); 3 | endmodule 4 | 5 | module t2; 6 | final $display("Final in %m"); 7 | endmodule 8 | -------------------------------------------------------------------------------- /ivtest/ivltests/fread.txt: -------------------------------------------------------------------------------- 1 | ab01zy01234567890123456789012345678901 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/ifdef_fail.v: -------------------------------------------------------------------------------- 1 | module if_fail_test(); 2 | 3 | `ifdef 4 | `ifndef 5 | `elsif 6 | `else 7 | `endif 8 | 9 | initial $display("FAILED"); 10 | 11 | endmodule 12 | -------------------------------------------------------------------------------- /ivtest/ivltests/indef_width_concat.v: -------------------------------------------------------------------------------- 1 | module top; 2 | parameter pval = 1; 3 | 4 | initial $display("Concat: %d", {pval, 2}); 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/line_directive_inc.v: -------------------------------------------------------------------------------- 1 | $display("file %s line %0d", `__FILE__, `__LINE__); 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/macro_args.v: -------------------------------------------------------------------------------- 1 | `ifndef FOO 2 | `define FOO 3 | `define BAR(x) 4 | `endif 5 | 6 | module macro_args(); 7 | macro_args_sub sub(); 8 | endmodule 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/macro_args_sub.v: -------------------------------------------------------------------------------- 1 | module macro_args_sub(); 2 | `BAR(0) 3 | endmodule 4 | -------------------------------------------------------------------------------- /ivtest/ivltests/macro_redefinition.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | `define MACRO 1 4 | `define MACRO 1 5 | `define MACRO 2 6 | `undef MACRO 7 | `define MACRO 1 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/macro_replacement.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | `define MACRO 1 4 | `define MACRO 1 5 | `define MACRO 2 6 | `undef MACRO 7 | `define MACRO 1 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/named_begin.v: -------------------------------------------------------------------------------- 1 | module top; 2 | initial begin : named_begin 3 | $display("PASSED"); 4 | end : named_begin 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/named_begin_fail.v: -------------------------------------------------------------------------------- 1 | module top; 2 | initial begin : named_begin 3 | $display("FAILED"); 4 | end : wrong_name 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/named_fork.v: -------------------------------------------------------------------------------- 1 | module top; 2 | initial fork : named_fork 3 | $display("PASSED"); 4 | join : named_fork 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/named_fork_fail.v: -------------------------------------------------------------------------------- 1 | module top; 2 | initial fork : named_begin 3 | $display("FAILED"); 4 | join : wrong_name 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/no_if_statement.v: -------------------------------------------------------------------------------- 1 | module top; 2 | reg var; 3 | 4 | always if (var); 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/no_timescale_in_module.v: -------------------------------------------------------------------------------- 1 | `timescale 1ns/1ps 2 | module top; 3 | `timescale 1us/1ns 4 | endmodule 5 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1403406-1.cf: -------------------------------------------------------------------------------- 1 | +timescale+1ns/1ns 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1403406-2.cf: -------------------------------------------------------------------------------- 1 | +timescale+1ns/1ps+ 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1601896.v: -------------------------------------------------------------------------------- 1 | module test; 2 | initial 3 | begin 4 | if(2) 5 | $display("PASSED"); 6 | else 7 | $display("FAILED"); 8 | end 9 | 10 | endmodule 11 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1687193.dat: -------------------------------------------------------------------------------- 1 | 0 1 2 2 | 3 4 5 // comment at end 3 | 6 7 4 | // another comment 5 | 9 a b 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1699444.v: -------------------------------------------------------------------------------- 1 | module top; 2 | 3 | initial begin 4 | $display("Output a slash \\."); 5 | $display("Output a double slash \\\\."); 6 | end 7 | endmodule 8 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1705027.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | // wire r; 3 | a ua ( .r ( !r )); 4 | endmodule 5 | 6 | module a ( r ); 7 | input r; 8 | endmodule 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1763333.v: -------------------------------------------------------------------------------- 1 | module test; 2 | wire s1; 3 | not(,s1); 4 | not(s1,); 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1792152.v: -------------------------------------------------------------------------------- 1 | module top; 2 | parameter value = (1:2:3); 3 | initial $display(value); 4 | endmodule 5 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1819452.txt: -------------------------------------------------------------------------------- 1 | in_0 2 | in_1 3 | in_2 4 | in_3 5 | in_4 6 | in_5 7 | in_6 8 | in_7 9 | in_8 10 | in_9 11 | in_10 12 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1912112.v: -------------------------------------------------------------------------------- 1 | `define world World 2 | `define test Hello `world 3 | 4 | module test; 5 | initial $display("The `test definition is: `define %s", ``test); 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1938138.v: -------------------------------------------------------------------------------- 1 | module a(); 2 | endmodule 3 | 4 | module test(); 5 | a a(); 6 | endmodule 7 | 8 | module a(); 9 | endmodule 10 | 11 | module b(); 12 | endmodule 13 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1960548.v: -------------------------------------------------------------------------------- 1 | // pr1960548 2 | 3 | module test; 4 | initial 5 | $display("B`x"); 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr1960575.v: -------------------------------------------------------------------------------- 1 | module test; 2 | initial 3 | $write("expected x; got %0b\n", 1'b0 ^ 1'bz); 4 | endmodule 5 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2002443.v: -------------------------------------------------------------------------------- 1 | `define MACRO(_param_,_def_) \ 2 | `ifdef _def_ \ 3 | module _param_ (); \ 4 | endmodule \ 5 | `endif 6 | 7 | `MACRO(FOFO, CFG_FOFO) 8 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2029336.in: -------------------------------------------------------------------------------- 1 | 1073741824 2 | 2147483648 3 | 4294967296 4 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2051694.v: -------------------------------------------------------------------------------- 1 | module test_unknown_in_always_star; 2 | reg [2:0] x; 3 | always @* x = {unknown, 1'b0}; 4 | endmodule 5 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2215342.v: -------------------------------------------------------------------------------- 1 | `include "pr2215342_inc.v" // Include a file 2 | 3 | module top; 4 | initial $display("PASSED"); 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2215342_inc.v: -------------------------------------------------------------------------------- 1 | /* Start with a comment to verify that the include comment 2 | * is correct. */ 3 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2248925.v: -------------------------------------------------------------------------------- 1 | module bug(); 2 | 3 | time t1; 4 | 5 | initial begin 6 | t1 = 1000; 7 | $display("%0d", t1 + 1000 - 500); 8 | end 9 | 10 | endmodule 11 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr224a.v: -------------------------------------------------------------------------------- 1 | `define LINE_A 2 | `include "ivltests/pr224.v" 3 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2395378a.v: -------------------------------------------------------------------------------- 1 | module top; 2 | buf(strong0, highz1) #1 sclbuf0(iscl); 3 | endmodule 4 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2395378b.v: -------------------------------------------------------------------------------- 1 | module top; 2 | buf sclbuf0(); 3 | endmodule 4 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2395378c.v: -------------------------------------------------------------------------------- 1 | module top; 2 | not(strong0, highz1) #1 sclbuf0(iscl); 3 | endmodule 4 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2456943.v: -------------------------------------------------------------------------------- 1 | module top; 2 | wire real test; 3 | 4 | initial begin 5 | if (test != 0.0) $display("FAILED"); 6 | else $display("PASSED"); 7 | end 8 | endmodule 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2509349.txt: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 2 4 | 3 5 | 4 6 | 5 7 | 6 8 | 7 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2709097.hex: -------------------------------------------------------------------------------- 1 | 0000000a 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2721213.v: -------------------------------------------------------------------------------- 1 | module top; 2 | task foo(); 3 | $display("PASSED"); 4 | endtask 5 | 6 | initial foo; 7 | endmodule 8 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2792883.v: -------------------------------------------------------------------------------- 1 | module top; 2 | parameter WIDTH = dut.WIDTH; 3 | 4 | test dut(); 5 | endmodule 6 | 7 | module test; 8 | parameter WIDTH = 8; 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2824189.txt: -------------------------------------------------------------------------------- 1 | a 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr2877564.v: -------------------------------------------------------------------------------- 1 | module testbench; 2 | foo #(ASDF) bar(); 3 | endmodule 4 | 5 | module foo #(parameter A=1); 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr3012758.inc: -------------------------------------------------------------------------------- 1 | initial begin 2 | $display("PASSED"); 3 | end 4 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr3012758.v: -------------------------------------------------------------------------------- 1 | `define TESTFILE "ivltests/pr3012758.inc" 2 | module top; 3 | `include `TESTFILE 4 | endmodule 5 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr3061015a.v: -------------------------------------------------------------------------------- 1 | module top; 2 | // This should be a compilation error. 3 | parameter PARAMB = PARAMB + 6; 4 | 5 | initial $display("FAILED"); 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr3061015c.v: -------------------------------------------------------------------------------- 1 | module top; 2 | // This should be a compilation error. 3 | parameter real PARAMB = PARAMB + 1.0; 4 | 5 | initial $display("FAILED"); 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr3078759.v: -------------------------------------------------------------------------------- 1 | module top; 2 | specify 3 | specparam s_int = -1; 4 | specparam s_real = -1.0; 5 | endspecify 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr3190948.v: -------------------------------------------------------------------------------- 1 | (* foo, bar=1 *) (* baz=1 *) module foo; 2 | initial $display("PASSED"); 3 | endmodule 4 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr3270320_ams.v: -------------------------------------------------------------------------------- 1 | module bug(); 2 | 3 | wire [7:0] b; 4 | 5 | assign b = $abs(missing); 6 | 7 | endmodule 8 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr3441576.v: -------------------------------------------------------------------------------- 1 | module top; 2 | reg foo; 3 | always @* foo <= 0; 4 | initial #1 $display("foo is %b", foo); 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr445.v: -------------------------------------------------------------------------------- 1 | /* PR#445 */ 2 | module foo (); 3 | 4 | initial 5 | if (!(1'b0)) 6 | $display("PASSED"); 7 | else 8 | $display("FAILED"); 9 | 10 | endmodule 11 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr567.v: -------------------------------------------------------------------------------- 1 | module test; 2 | reg blah [63:0]; 3 | initial blah = 0; // This should generate an error message. 4 | endmodule 5 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr622.v: -------------------------------------------------------------------------------- 1 | `define FOO bar 2 | module foo; 3 | initial begin 4 | $display("macro FOO = %s", ``FOO); 5 | end 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr690.dat: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | -------------------------------------------------------------------------------- /ivtest/ivltests/pr704.hex: -------------------------------------------------------------------------------- 1 | /* Stub data file for regression test pr704.v */ 2 | 10101010_10101010_10101010_10101010 3 | 01010101_01010101_01010101_01010101 4 | -------------------------------------------------------------------------------- /ivtest/ivltests/readmem-error.txt: -------------------------------------------------------------------------------- 1 | uuuuuuuu 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/readmemb.txt: -------------------------------------------------------------------------------- 1 | 00000001 2 | 00000010 3 | 00000011 4 | 00000100 5 | 00000101 6 | 00000110 7 | 00000111 8 | 00001000 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/readmemb1.dat: -------------------------------------------------------------------------------- 1 | 0000_0000 2 | 00000001 3 | 000__0_0010 4 | 0000_0011 5 | 0000_0100 6 | 0000_0101 7 | 0000_0110 8 | 0000_0111 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/readmemb2.dat: -------------------------------------------------------------------------------- 1 | 0000_0000 2 | 00000001 3 | 000__0_0010 4 | 0000_0011 5 | -------------------------------------------------------------------------------- /ivtest/ivltests/readmemh.txt: -------------------------------------------------------------------------------- 1 | 1 2 | 2 3 | 3 4 | 4 5 | 5 6 | 6 7 | 7 8 | 8 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/readmemh1.dat: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 2 4 | 3 5 | 4 6 | 5 7 | 6 8 | 7 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/readmemh1a.dat: -------------------------------------------------------------------------------- 1 | @2 2 | 2 3 | 3 4 | @0 5 | 0 6 | 1 7 | @4 8 | 4 9 | 5 10 | 6 11 | 7 12 | -------------------------------------------------------------------------------- /ivtest/ivltests/readmemh2.dat: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | 2 4 | 3 5 | -------------------------------------------------------------------------------- /ivtest/ivltests/readmemh4.dat: -------------------------------------------------------------------------------- 1 | 0 2 | 1 3 | // Comments in the file 4 | 2 5 | 3 6 | 4 7 | 5 8 | // And can have comments here too 9 | 6 10 | 7 11 | -------------------------------------------------------------------------------- /ivtest/ivltests/redef_net_error.v: -------------------------------------------------------------------------------- 1 | module test; 2 | 3 | wire [7:0] value, value; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/redef_reg_error.v: -------------------------------------------------------------------------------- 1 | module test; 2 | 3 | reg [7:0] value, value; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/sv_macro2.v: -------------------------------------------------------------------------------- 1 | `define msg(x,y) `"x: `\`"y`\`"`" 2 | 3 | module test(); 4 | 5 | initial begin 6 | $display(`msg(left side,right side)); 7 | end 8 | 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/ivltests/sv_new_array_error.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | logic [1:0] array = new[4]; 4 | 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/sv_queue_nest1.v: -------------------------------------------------------------------------------- 1 | // Check that declarations for queues of queues are supported. 2 | 3 | module test; 4 | 5 | // Queue of queues 6 | int q[$][$]; 7 | 8 | endmodule 9 | -------------------------------------------------------------------------------- /ivtest/ivltests/sv_timeunit_prec_fail1.v: -------------------------------------------------------------------------------- 1 | // deliberately empty 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/sv_timeunit_prec_fail1b.v: -------------------------------------------------------------------------------- 1 | // Check a missing global time precision. 2 | `resetall 3 | timeunit 1ns; 4 | module no_gtp; 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/sv_timeunit_prec_fail1c.v: -------------------------------------------------------------------------------- 1 | // Check a global timeprecision that is too large. 2 | `resetall 3 | timeunit 1ns; 4 | timeprecision 10ns; 5 | module gtp_large; 6 | endmodule 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/sv_timeunit_prec_fail1d.v: -------------------------------------------------------------------------------- 1 | // Check a missing local time precision. 2 | `resetall 3 | module no_ltp; 4 | timeunit 1ns; 5 | endmodule 6 | 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/sv_timeunit_prec_fail2.v: -------------------------------------------------------------------------------- 1 | // deliberately empty 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/sv_timeunit_prec_fail2b.v: -------------------------------------------------------------------------------- 1 | // Check a global timeprecision that is too large. 2 | `resetall 3 | timeunit 1ns/10ns; 4 | module gtp_large; 5 | endmodule 6 | 7 | -------------------------------------------------------------------------------- /ivtest/ivltests/sv_timeunit_prec_fail2c.v: -------------------------------------------------------------------------------- 1 | // Check a local timeprecision that is too large. 2 | `resetall 3 | module ltp_large; 4 | timeunit 1ns/10ns; 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/ivltests/timescale3.v: -------------------------------------------------------------------------------- 1 | // This is an error since the timeunit is less than the precision. 2 | 3 | `timescale 1ns/10ns 4 | -------------------------------------------------------------------------------- /ivtest/ivltests/vhdl_timescale_1ns.cfg: -------------------------------------------------------------------------------- 1 | +timescale+1ns/1ns 2 | -------------------------------------------------------------------------------- /ivtest/ivltests/work7.cfg: -------------------------------------------------------------------------------- 1 | ivltests/work7/work7-pkg.vhd 2 | ivltests/work7/timebase.vhd 3 | ivltests/work7/bigcount.vhd 4 | ivltests/work7/fdc.vhd 5 | -------------------------------------------------------------------------------- /ivtest/ivltests/work7b.cfg: -------------------------------------------------------------------------------- 1 | +vhdl-libdir+ivltests/work7b 2 | 3 | ivltests/work7b/timebase.vhd 4 | ivltests/work7b/bigcount.vhd 5 | ivltests/work7b/fdc.vhd 6 | -------------------------------------------------------------------------------- /ivtest/regress: -------------------------------------------------------------------------------- 1 | #!/bin/csh 2 | limit coredumpsize 0 3 | ./vvp_reg.pl 4 | -------------------------------------------------------------------------------- /ivtest/vhdl_gold/function1.gold: -------------------------------------------------------------------------------- 1 | function1.vhd:37:5:@0ms:(report note): 8 = sum(3, 5) 2 | function1.vhd:38:5:@0ms:(report note): PASSED 3 | -------------------------------------------------------------------------------- /ivtest/vhdl_tests/pr2527366.v: -------------------------------------------------------------------------------- 1 | module test(input b); 2 | a ua(.BISTEA(b), .BISTEB(b)); 3 | endmodule // test 4 | 5 | module a (input BISTEA, input BISTEB); 6 | endmodule // a 7 | -------------------------------------------------------------------------------- /ivtest/vhdl_tests/pr2531370.v: -------------------------------------------------------------------------------- 1 | module test(); 2 | 3 | initial 4 | $display("Error: %m"); 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/vhdl_tests/pr2536040.v: -------------------------------------------------------------------------------- 1 | module test ( input a, input _b_, output A, output b__); 2 | assign A = a; 3 | assign b__ = _b_; 4 | endmodule 5 | -------------------------------------------------------------------------------- /ivtest/vpi/br_gh117.v: -------------------------------------------------------------------------------- 1 | module cb(); 2 | 3 | always begin 4 | #1; 5 | end 6 | 7 | endmodule 8 | -------------------------------------------------------------------------------- /ivtest/vpi/br_gh308.v: -------------------------------------------------------------------------------- 1 | module test; 2 | 3 | reg [31:0] vec; 4 | 5 | initial begin 6 | vec = 0; // make sure vec is not pruned 7 | $test; 8 | end 9 | 10 | endmodule 11 | -------------------------------------------------------------------------------- /ivtest/vpi/event2.v: -------------------------------------------------------------------------------- 1 | module test; 2 | event e; 3 | initial begin 4 | repeat (5) begin 5 | #10; 6 | ->e; 7 | end 8 | end 9 | endmodule 10 | -------------------------------------------------------------------------------- /ivtest/vpi/final.v: -------------------------------------------------------------------------------- 1 | module tb; 2 | initial $finish(0); 3 | final $display("In final statement."); 4 | endmodule 5 | -------------------------------------------------------------------------------- /ivtest/vpi/getp.v: -------------------------------------------------------------------------------- 1 | module test; 2 | initial begin 3 | $mytest(1,9.6,3); 4 | end 5 | endmodule 6 | -------------------------------------------------------------------------------- /ivtest/vpi/nextsimtime_cb1.c: -------------------------------------------------------------------------------- 1 | #define TEST_SIM_TIME 2 | #include "nextsimtime_cb.c" 3 | -------------------------------------------------------------------------------- /ivtest/vpi/nextsimtime_cb1.v: -------------------------------------------------------------------------------- 1 | `include "vpi/nextsimtime_cb.v" 2 | -------------------------------------------------------------------------------- /ivtest/vpi/nextsimtime_cb2.c: -------------------------------------------------------------------------------- 1 | #define TEST_SCALED_TIME 2 | #include "nextsimtime_cb.c" 3 | -------------------------------------------------------------------------------- /ivtest/vpi/nextsimtime_cb2.v: -------------------------------------------------------------------------------- 1 | `include "vpi/nextsimtime_cb.v" 2 | -------------------------------------------------------------------------------- /ivtest/vpi/nextsimtime_cb3.c: -------------------------------------------------------------------------------- 1 | #define TEST_SUPPRESS_TIME 2 | #include "nextsimtime_cb.c" 3 | -------------------------------------------------------------------------------- /ivtest/vpi/nextsimtime_cb3.v: -------------------------------------------------------------------------------- 1 | `include "vpi/nextsimtime_cb.v" 2 | -------------------------------------------------------------------------------- /ivtest/vpi/nextsimtime_cb4.c: -------------------------------------------------------------------------------- 1 | #define TEST_NULL_TIME 2 | #include "nextsimtime_cb.c" 3 | -------------------------------------------------------------------------------- /ivtest/vpi/nextsimtime_cb4.v: -------------------------------------------------------------------------------- 1 | `include "vpi/nextsimtime_cb.v" 2 | -------------------------------------------------------------------------------- /ivtest/vpi/sim_time_cb1.c: -------------------------------------------------------------------------------- 1 | #define TEST_SIM_TIME 2 | #include "sim_time_cb.c" 3 | -------------------------------------------------------------------------------- /ivtest/vpi/sim_time_cb2.c: -------------------------------------------------------------------------------- 1 | #define TEST_SCALED_TIME 2 | #include "sim_time_cb.c" 3 | -------------------------------------------------------------------------------- /ivtest/vpi/value_change_cb1.c: -------------------------------------------------------------------------------- 1 | #define TEST_SIM_TIME 2 | #include "value_change_cb.c" 3 | -------------------------------------------------------------------------------- /ivtest/vpi/value_change_cb1.v: -------------------------------------------------------------------------------- 1 | `include "vpi/value_change_cb.v" 2 | -------------------------------------------------------------------------------- /ivtest/vpi/value_change_cb2.c: -------------------------------------------------------------------------------- 1 | #define TEST_SCALED_TIME 2 | #include "value_change_cb.c" 3 | -------------------------------------------------------------------------------- /ivtest/vpi/value_change_cb2.v: -------------------------------------------------------------------------------- 1 | `include "vpi/value_change_cb.v" 2 | -------------------------------------------------------------------------------- /ivtest/vpi/value_change_cb3.c: -------------------------------------------------------------------------------- 1 | #define TEST_SUPPRESS_TIME 2 | #include "value_change_cb.c" 3 | -------------------------------------------------------------------------------- /ivtest/vpi/value_change_cb3.v: -------------------------------------------------------------------------------- 1 | `include "vpi/value_change_cb.v" 2 | -------------------------------------------------------------------------------- /ivtest/vpi/value_change_cb4.c: -------------------------------------------------------------------------------- 1 | #define TEST_NULL_TIME 2 | #include "value_change_cb.c" 3 | -------------------------------------------------------------------------------- /ivtest/vpi/value_change_cb4.v: -------------------------------------------------------------------------------- 1 | `include "vpi/value_change_cb.v" 2 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/br_gh1041.gold: -------------------------------------------------------------------------------- 1 | Compiling vpi/br_gh1041.c... 2 | Making br_gh1041.vpi from br_gh1041.o... 3 | w4 1 w8 1 wr 1.000000 4 | PASSED 5 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/br_gh184.gold: -------------------------------------------------------------------------------- 1 | Compiling vpi/start_of_simtime1.c... 2 | Making br_gh184.vpi from start_of_simtime1.o... 3 | PASSED 4 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/br_gh235.gold: -------------------------------------------------------------------------------- 1 | Compiling vpi/br_gh235.c... 2 | Making br_gh235.vpi from br_gh235.o... 3 | 1 4 | PASSED 5 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/br_gh59.gold: -------------------------------------------------------------------------------- 1 | Compiling vpi/br_gh59.c... 2 | Making br_gh59.vpi from br_gh59.o... 3 | zz z zz 0 4 | 01 0 01 500 5 | 01 1 zz 1000 6 | 01 0 01 2000 7 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/check_version.gold: -------------------------------------------------------------------------------- 1 | Compiling vpi/check_version.c... 2 | Making check_version.vpi from check_version.o... 3 | The two versions matched! 4 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/event1.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/event1.c... 2 | Making event1.vpi from event1.o... 3 | $test (test.evt [type = 34], test.evt2 [type = 34], test [type = 32]) 4 | PASSED 5 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/event2.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/event2.c... 2 | Making event2.vpi from event2.o... 3 | Callback @ 10.0 4 | Callback @ 20.0 5 | Callback @ 30.0 6 | vpi_remove_cb returned 1 @ 30.0 7 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/final.gold: -------------------------------------------------------------------------------- 1 | Compiling vpi/final.c... 2 | Making final.vpi from final.o... 3 | In final statement. 4 | In VPI cbEndOfSimulation callback. 5 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/find_sig.gold: -------------------------------------------------------------------------------- 1 | Compiling vpi/find_sig.c... 2 | Making find_sig.vpi from find_sig.o... 3 | PASSED 4 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/hello.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/hello_vpi.c... 2 | Making hello_vpi.vpi from hello_vpi.o... 3 | Hello World, from VPI. 4 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/hello2.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/hello_vpi1.c... 2 | Compiling vpi/hello_vpi2.c... 3 | Making hello_vpi2.vpi from hello_vpi1.o hello_vpi2.o... 4 | Hello World, from VPI. 5 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/hello_poke.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/hello_poke.c... 2 | Making hello_poke.vpi from hello_poke.o... 3 | PASSED 4 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/hello_tf.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/hello_tf.c... 2 | Making hello_tf.vpi from hello_tf.o... 3 | Hello World, from VPI. 4 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/listparams.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/listparams.c... 2 | Making listparams.vpi from listparams.o... 3 | foo: 0101 4 | str: String Text 5 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/memmon.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/memmon.c... 2 | Making memmon.vpi from memmon.o... 3 | ValueChange: index=0, value=00000100 4 | ValueChange: index=1, value=00000101 5 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/myscope.gold: -------------------------------------------------------------------------------- 1 | Compiling vpi/myscope.c... 2 | Making myscope.vpi from myscope.o... 3 | My scope name: xor_try (s.b. xor_try) 4 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/putp.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/putp.c... 2 | Making putp.vpi from putp.o... 3 | PASSED 4 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/putp2.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/putp2.c... 2 | Making putp2.vpi from putp2.o... 3 | PASSED 4 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/range1.gold: -------------------------------------------------------------------------------- 1 | Compiling vpi/range1.c... 2 | Making range1.vpi from range1.o... 3 | Dimensions of xor_try.inp_xor: [1:0] 4 | -------------------------------------------------------------------------------- /ivtest/vpi_gold/start_of_simtime1.log: -------------------------------------------------------------------------------- 1 | Compiling vpi/start_of_simtime1.c... 2 | Making start_of_simtime1.vpi from start_of_simtime1.o... 3 | PASSED 4 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/always4A.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "always4A.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/always4B.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "always4B.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/array_packed_sysfunct.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "NI", 3 | "source" : "array_packed_sysfunct.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/array_packed_value_list.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "NI", 3 | "source" : "array_packed_value_list.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/array_packed_write_read.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "array_packed_write_read.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/array_slice_concat.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "array_slice_concat.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/automatic_error11.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "automatic_error11.v", 4 | "gold" : "automatic_error11" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/automatic_error12.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "automatic_error12.v", 4 | "gold" : "automatic_error12" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/automatic_error13.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "automatic_error13.v", 4 | "gold" : "automatic_error13" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/bits4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "bits4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/bitsel11.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "bitsel11.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1001.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1001.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1018.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1018.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1029.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1029.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1074a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1074a.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1074b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1074b.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1087a1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1087a.v", 4 | "gold" : "br_gh1087a1", 5 | "iverilog-args" : [ "-gno-xtypes" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1087a2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1087a.v", 4 | "gold" : "br_gh1087a2", 5 | "iverilog-args" : [ "-gxtypes" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1087a3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1087a.v", 4 | "gold" : "br_gh1087a3", 5 | "iverilog-args" : [ "-g2009", "-gno-xtypes" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1087b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1087b.v", 4 | "gold" : "br_gh1087b", 5 | "iverilog-args" : [ "-gxtypes" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1087c.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1087c.v", 4 | "gold" : "br_gh1087c", 5 | "iverilog-args" : [ "-g2009", "-gno-xtypes" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1097.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1097.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1099a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1099a.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1099b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1099b.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1099c.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1099c.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1104.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1104_src2.v", 4 | "iverilog-args" : [ "ivltests/br_gh1104_src1.v" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1122.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1122.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1143a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1143a.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1143b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1143b.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1143c.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1143c.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1143d.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1143d.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1143e.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1143a.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "br_gh1143e" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1143f.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1143b.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "br_gh1143f" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1143g.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1143c.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "br_gh1143g" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1143h.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1143d.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "br_gh1143h" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1155.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1155.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1163.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1163.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1180a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1180a.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "br_gh1180a" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1180b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh1180b.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "br_gh1180b" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1181.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal-vlog95", 3 | "source" : "br_gh1181.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1184.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "br_gh1184.v", 4 | "gold" : "br_gh1184", 5 | "iverilog-args" : [ "-gspecify" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1242.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1242.v", 4 | "gold" : "br_gh1242" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1256a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1256a.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1256b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1256a.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1258a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1258.v", 4 | "iverilog-args" : [ "-gno-specify" ], 5 | "gold" : "br_gh1258a" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh1258b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh1258.v", 4 | "iverilog-args" : [ "-gspecify" ], 5 | "gold" : "br_gh1258b" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh13a-vlog95.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal-vlog95", 3 | "source" : "br_gh13a.v", 4 | "gold" : "br_gh13a-vlog95" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh13a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh13a.v", 4 | "gold" : "br_gh13a" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh230.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "br_gh230.v", 4 | "gold" : "br_gh230" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh383a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh383a.v", 4 | "iverilog-args" : [ "-g2012" ], 5 | "gold" : "br_gh383a" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh383b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh383b.v", 4 | "iverilog-args" : [ "-g2012" ], 5 | "gold" : "br_gh383a" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh383c.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh383c.v", 4 | "iverilog-args" : [ "-g2012" ], 5 | "gold" : "br_gh383c" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh383d.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh383d.v", 4 | "iverilog-args" : [ "-g2012" ], 5 | "gold" : "br_gh383d" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh440.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh440.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "br_gh440" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh483a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh483a.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh483b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh483b.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh552.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh552.v", 4 | "gold" : "br_gh552", 5 | "iverilog-args" : [ "-gno-icarus-misc" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh687.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh687.v", 4 | "gold" : "br_gh687", 5 | "iverilog-args" : [ "-g2009" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh703.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "br_gh703.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh939.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "br_gh939.v", 4 | "gold" : "br_gh939", 5 | "iverilog-args" : [ "-g2005-sv" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh99c-vlog95.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal-vlog95", 4 | "source" : "br_gh99c.v", 5 | "iverilog-args" : [ "-gverilog-ams", "-pallowsigned=1" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/br_gh99c.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "br_gh99c.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/ca_time_real.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "ca_time_real.v", 4 | "gold" : "ca_time_real" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/case1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "case1.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/case2-S.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "case2.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/case2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "case2.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/case3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "case3.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/casex_synth.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "casex_synth.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/cast_int_ams.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "cast_int_ams.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/cast_real_invalid1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "cast_real_invalid1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/cast_real_invalid2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "cast_real_invalid2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/cast_real_invalid3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "cast_real_invalid3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/cast_real_invalid4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "cast_real_invalid4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/comment1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "comment1.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunc16.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "constfunc16.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunc17.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "constfunc17.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunc18.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "constfunc18.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunc19.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "constfunc19.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunc20.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "constfunc20.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunc4_ams.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "constfunc4_ams.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunc6_ams-vlog95.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal-vlog95", 4 | "source" : "constfunc6_ams.v", 5 | "iverilog-args" : [ "-pallowsigned=1" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunc6_ams.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "constfunc6_ams.v" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunccall1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "constfunccall1.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunccall2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "constfunccall2.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/constfunccall3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "constfunccall3.v", 4 | "gold" : "constfunccall3" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/decl_before_use1.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "CE", 4 | "source" : "decl_before_use1.v", 5 | "gold" : "decl_before_use1" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/decl_before_use2.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "CE", 4 | "source" : "decl_before_use2.v", 5 | "gold" : "decl_before_use2" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/decl_before_use3.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "CE", 4 | "source" : "decl_before_use3.v", 5 | "gold" : "decl_before_use3" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/decl_before_use4.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "CE", 4 | "source" : "decl_before_use4.v", 5 | "gold" : "decl_before_use4" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/decl_before_use5.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "CE", 4 | "source" : "decl_before_use5.v", 5 | "gold" : "decl_before_use5" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/decl_before_use6.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "decl_before_use6.v" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/delayed_sfunc.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "delayed_sfunc.v", 4 | "gold" : "delayed_sfunc", 5 | "iverilog-args" : [ "-gspecify" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth-S.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "NI", 3 | "source" : "dffsynth.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dffsynth.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth10.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dffsynth10.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth11.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dffsynth11.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dffsynth2.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dffsynth3.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dffsynth4.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dffsynth5.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth6.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dffsynth6.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth7.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dffsynth7.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth8.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "NI", 3 | "source" : "dffsynth8.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dffsynth9.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dffsynth9.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/dumpfile.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "dumpfile.v", 4 | "gold" : "dumpfile", 5 | "vvp-args-extended" : [ "-vcd", "-dumpfile=foo" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/early_sig_elab1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "early_sig_elab1.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/early_sig_elab2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "early_sig_elab2.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/early_sig_elab3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "early_sig_elab3.v", 4 | "gold" : "early_sig_elab3" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/eofmt_percent-vlog95.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal-vlog95", 3 | "source" : "eofmt_percent.v", 4 | "gold" : "eofmt_percent-vlog95" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/eofmt_percent.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "eofmt_percent.v", 4 | "gold" : "eofmt_percent" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/fdisplay3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "fdisplay3.v", 4 | "gold" : "fdisplay3" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/final3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "final3.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/fread-error.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "fread-error.v", 4 | "gold" : "fread-error" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/localparam_type-vlog95.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal-vlog95", 3 | "source" : "localparam_type.v", 4 | "gold" : "parameter_type-vlog95" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/localparam_type.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "localparam_type.v", 4 | "gold" : "parameter_type" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/macro_str_esc.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "macro_str_esc.v", 4 | "gold" : "macro_str_esc" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/memsynth1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "NI", 3 | "source" : "memsynth1.v", 4 | "iverilog-args" : [ "-S" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/memsynth2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "memsynth2.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/memsynth3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "memsynth3.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/memsynth4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "memsynth4.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/memsynth5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "memsynth5.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/memsynth6.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "memsynth6.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/memsynth7.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "memsynth7.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/memsynth9.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "memsynth9.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/mix_reset.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "mix_reset.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/module_ordered_list1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "module_ordered_list1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/module_ordered_list2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "module_ordered_list2.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/module_port_array1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "module_port_array1.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/module_port_array_init1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "module_port_array_init1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/non-polymorphic-abs.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "non-polymorphic-abs.v" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/param-width-vlog95.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal-vlog95", 3 | "source" : "param-width.v", 4 | "gold" : "param-width-vlog95" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/param-width.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "param-width.v", 4 | "gold" : "param-width" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/param_test3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "param_test3.v", 4 | "gold" : "param_test3" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/parameter_type-vlog95.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal-vlog95", 3 | "source" : "parameter_type.v", 4 | "gold" : "parameter_type-vlog95" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/parameter_type.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "parameter_type.v", 4 | "gold" : "parameter_type" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_invalid_idx1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_invalid_idx1.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_invalid_idx2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_invalid_idx2.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_invalid_idx3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_invalid_idx3.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_invalid_idx4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_invalid_idx4.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_invalid_idx5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_invalid_idx5.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_invalid_idx6.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_invalid_idx6.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_outside_const.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "partsel_outside_const.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_outside_expr.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "partsel_outside_expr.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_reversed_idx1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_reversed_idx1.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_reversed_idx2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_reversed_idx2.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_reversed_idx3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_reversed_idx3.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_reversed_idx4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_reversed_idx4.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_reversed_idx5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_reversed_idx5.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/partsel_reversed_idx6.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "partsel_reversed_idx6.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr1388974-vlog95.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal-vlog95", 3 | "source" : "pr1388974.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr1388974.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "pr1388974.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr1701890.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "pr1701890.v", 4 | "gold" : "pr1701890" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr1864110a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "pr1864110a.v", 4 | "gold" : "pr1864110a" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr1864110b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "pr1864110b.v", 4 | "gold" : "pr1864110b" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr1864115.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "pr1864115.v", 4 | "gold" : "pr1864115" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr1916261a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "pr1916261a.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr2509349a-vlog95.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal-vlog95", 3 | "source" : "pr2509349a.v", 4 | "gold" : "pr2509349a-vlog95" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr2509349a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "pr2509349a.v", 4 | "gold" : "pr2509349a" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr2509349b-vlog95.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal-vlog95", 3 | "source" : "pr2509349b.v", 4 | "gold" : "pr2509349b-vlog95" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr2509349b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "pr2509349b.v", 4 | "gold" : "pr2509349b" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr2800985b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "pr2800985b.v", 4 | "gold" : "pr2800985b" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr3270320_ams.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "CE", 4 | "source" : "pr3270320_ams.v" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr903-vlog95.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal-vlog95", 3 | "source" : "pr903.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pr903.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "pr903.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/program2b.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "program2b.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/program3a.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "program3a.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pv_wr_fn_vec2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "pv_wr_fn_vec2.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/pv_wr_fn_vec4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "pv_wr_fn_vec4.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/queue_fail.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "queue_fail.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "queue_fail" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/readmem-invalid.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "readmem-invalid.v", 4 | "gold" : "readmem-invalid" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/scaled_real.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "scaled_real.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/scan-invalid.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "scan-invalid.v", 4 | "gold" : "scan-invalid" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sf_countbits_fail.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "sf_countbits_fail.v", 4 | "iverilog-args" : [ "-g2012" ], 5 | "gold" : "sf_countbits_fail" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sf_countones_fail.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "sf_countones_fail.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "sf_countones_fail" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sf_isunknown_fail.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "sf_isunknown_fail.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "sf_isunknown_fail" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sf_onehot0_fail.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "sf_onehot0_fail.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "sf_onehot0_fail" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sf_onehot_fail.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "sf_onehot_fail.v", 4 | "iverilog-args" : [ "-g2009" ], 5 | "gold" : "sf_onehot_fail" 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/shift6.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "shift6.v" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/single_element_array.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "single_element_array.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/struct_enum_partsel.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "struct_enum_partsel.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/struct_field_left_right.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "struct_field_left_right.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/struct_nested1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "struct_nested1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/struct_packed_value_list.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "NI", 3 | "source" : "struct_packed_value_list.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/struct_packed_write_read.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "struct_packed_write_read.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/struct_packed_write_read2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "struct_packed_write_read2.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_ap_uarray1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_ap_uarray1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_ap_uarray2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_ap_uarray2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_ap_uarray3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_ap_uarray3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_ap_uarray4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_ap_uarray4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_ap_uarray5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_ap_uarray5.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_ap_uarray6.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_ap_uarray6.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_ap_uarray_fail1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_ap_uarray_fail1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_ap_uarray_fail2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_ap_uarray_fail2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_argumentless_func.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_argumentless_func.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_array_assign_fail1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_array_assign_fail1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_array_assign_fail2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_array_assign_fail2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_array_cassign6.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_array_cassign6.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_array_cassign8.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_array_cassign8.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_automatic_2state.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_automatic_2state.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_chained_constructor1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_chained_constructor1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_chained_constructor2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_chained_constructor2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_chained_constructor3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_chained_constructor3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_chained_constructor4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_chained_constructor4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_chained_constructor5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_chained_constructor5.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_class_prop_assign_op1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_class_prop_assign_op1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_class_prop_assign_op2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_class_prop_assign_op2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_class_prop_logic.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_class_prop_logic.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_const1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_const2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_const3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_const4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_const5.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const_fail1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_const_fail1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const_fail2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_const_fail2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const_fail3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_const_fail3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const_fail4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_const_fail4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const_fail5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_const_fail5.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const_fail6.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_const_fail6.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const_fail7.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_const_fail7.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const_fail8.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_const_fail8.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_const_fail9.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_const_fail9.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_darray_assign_op.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_darray_assign_op.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_default_port_value1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_default_port_value1.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_default_port_value2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_default_port_value2.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_foreach10.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_foreach10.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_interface.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "NI", 3 | "source" : "sv_interface.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_literals.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_literals.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_mixed_assign1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "NI", 3 | "source" : "sv_mixed_assign1.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_mixed_assign2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "NI", 3 | "source" : "sv_mixed_assign1.v", 4 | "iverilog-args" : [ "-g2009" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_module_port1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_module_port1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_module_port2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_module_port2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_module_port3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_module_port3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_module_port4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_module_port4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_base1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_base1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_base2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_base2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_base3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_base3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_base_fail1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_base_fail1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_base_fail2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_base_fail2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_base_fail3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_base_fail3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_base_fail4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_base_fail4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_base_fail5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_base_fail5.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_chained1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_chained1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_chained2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_chained2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_chained3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_chained3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_func1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_func1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_func2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_func2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_func3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_func3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_func_fail1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_func_fail1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_func_fail2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_func_fail2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_func_fail3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_func_fail3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_func_fail4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_func_fail4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_func_fail5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_func_fail5.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_new1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_new1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_new2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_new2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_new3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_new3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_new_fail1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_new_fail1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_new_fail2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_new_fail2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_new_fail3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_new_fail3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_new_fail4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_new_fail4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_new_fail5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_new_fail5.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_task1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_task1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_task2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_task2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_task3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_named_arg_task3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_task_fail1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_task_fail1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_task_fail2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_task_fail2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_task_fail3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_task_fail3.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_task_fail4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_task_fail4.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_named_arg_task_fail5.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "sv_named_arg_task_fail5.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_parameter_type.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_parameter_type.v", 4 | "iverilog-args" : [ "-g2012" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_queue_assign_op.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_queue_assign_op.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/sv_wildcard_import8.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "sv_wildcard_import8.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/task_return1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "task_return1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/task_return2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "task_return2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/task_return_fail1.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "task_return_fail1.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/task_return_fail2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "task_return_fail2.v", 4 | "iverilog-args" : [ "-g2005-sv" ] 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/timing_check_delayed_signals.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "timing_check_delayed_signals.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/timing_check_syntax.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "timing_check_syntax.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/uwire_fail2.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "uwire_fail2.v", 4 | "gold" : "uwire_fail2" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/uwire_fail3.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "uwire_fail3.v", 4 | "gold" : "uwire_fail3" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/uwire_fail4.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "CE", 3 | "source" : "uwire_fail4.v", 4 | "gold" : "uwire_fail4" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/va_math.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "va_math.v" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/value_range1.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "value_range1.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/value_range2.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "value_range2.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/value_range3.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "CE", 4 | "source" : "value_range3.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/vams_abs1-vlog95.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal-vlog95", 4 | "source" : "vams_abs1.v", 5 | "iverilog-args" : [ "-gverilog-ams", "-pallowsigned=1" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/vams_abs1.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "vams_abs1.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/vams_abs2.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "vams_abs2.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/vams_abs3.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "vams_abs3.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/vardly_undefined_vec.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "normal", 3 | "source" : "vardly_undefined_vec.v" 4 | } 5 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/warn_opt_sys_tf.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "warn_opt_sys_tf.v", 4 | "gold" : "warn_opt_sys_tf" 5 | } 6 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/wreal.json: -------------------------------------------------------------------------------- 1 | 2 | { 3 | "type" : "normal", 4 | "source" : "wreal.v", 5 | "iverilog-args" : [ "-gverilog-ams" ] 6 | } 7 | -------------------------------------------------------------------------------- /ivtest/vvp_tests/writemem-invalid.json: -------------------------------------------------------------------------------- 1 | { 2 | "type" : "EF", 3 | "source" : "writemem-invalid.v", 4 | "gold" : "writemem-invalid" 5 | } 6 | -------------------------------------------------------------------------------- /scripts/devel-stub.sft: -------------------------------------------------------------------------------- 1 | 2 | # This is an example function table. 3 | $realtime vpiSysFuncReal 4 | 5 | $verywide vpiSysFuncSized 128 signed 6 | -------------------------------------------------------------------------------- /tgt-blif/blif-s.conf: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | functor:cprop 5 | functor:nodangle 6 | flag:DLL=blif.tgt 7 | -------------------------------------------------------------------------------- /tgt-blif/blif.conf: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | functor:cprop 5 | functor:nodangle 6 | flag:DLL=blif.tgt 7 | -------------------------------------------------------------------------------- /tgt-fpga/cppcheck.sup: -------------------------------------------------------------------------------- 1 | // These are the global access functions called from the compiler so they 2 | // are not used here. 3 | 4 | // target_design() 5 | unusedFunction:fpga.c:104 6 | -------------------------------------------------------------------------------- /tgt-fpga/fpga-s.conf: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | functor:cprop 5 | functor:nodangle 6 | -t:dll 7 | flag:DLL=fpga.tgt 8 | -------------------------------------------------------------------------------- /tgt-fpga/fpga.conf: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | functor:cprop 5 | functor:nodangle 6 | -t:dll 7 | flag:DLL=fpga.tgt 8 | -------------------------------------------------------------------------------- /tgt-null/null-s.conf: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | flag:DLL=null.tgt 5 | -------------------------------------------------------------------------------- /tgt-null/null.conf: -------------------------------------------------------------------------------- 1 | flag:DLL=null.tgt 2 | -------------------------------------------------------------------------------- /tgt-pal/cppcheck.sup: -------------------------------------------------------------------------------- 1 | // These are the global access functions called from the compiler so they 2 | // are not used here. 3 | 4 | // target_design() 5 | unusedFunction:imain.c:59 6 | -------------------------------------------------------------------------------- /tgt-pcb/pcb-s.conf: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | functor:cprop 5 | functor:nodangle 6 | flag:DLL=pcb.tgt 7 | -------------------------------------------------------------------------------- /tgt-pcb/pcb.conf: -------------------------------------------------------------------------------- 1 | functor:cprop 2 | functor:nodangle 3 | flag:DLL=pcb.tgt 4 | -------------------------------------------------------------------------------- /tgt-sizer/sizer-s.conf: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | functor:cprop 5 | functor:nodangle 6 | flag:DLL=sizer.tgt 7 | -------------------------------------------------------------------------------- /tgt-sizer/sizer.conf: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | functor:cprop 5 | functor:nodangle 6 | flag:DLL=sizer.tgt 7 | -------------------------------------------------------------------------------- /tgt-stub/stub-s.conf: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | functor:cprop 5 | functor:nodangle 6 | flag:DLL=stub.tgt 7 | -------------------------------------------------------------------------------- /tgt-stub/stub.conf: -------------------------------------------------------------------------------- 1 | functor:cprop 2 | functor:nodangle 3 | flag:DLL=stub.tgt 4 | -------------------------------------------------------------------------------- /tgt-vhdl/vhdl-s.conf: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | functor:cprop 5 | functor:nodangle 6 | -t:dll 7 | flag:DLL=vhdl.tgt 8 | -------------------------------------------------------------------------------- /tgt-vhdl/vhdl.conf: -------------------------------------------------------------------------------- 1 | functor:cprop 2 | functor:nodangle 3 | flag:DLL=vhdl.tgt 4 | flag:DISABLE_CONCATZ_GENERATION=true 5 | -------------------------------------------------------------------------------- /tgt-vhdl/vhpi/finish.c: -------------------------------------------------------------------------------- 1 | #include <stdlib.h> 2 | 3 | void finish(void) 4 | { 5 | exit(0); 6 | } 7 | -------------------------------------------------------------------------------- /tgt-vlog95/vlog95.conf: -------------------------------------------------------------------------------- 1 | flag:DLL=vlog95.tgt 2 | flag:DISABLE_CONCATZ_GENERATION=true 3 | -------------------------------------------------------------------------------- /tgt-vvp/vvp-s.conf.in: -------------------------------------------------------------------------------- 1 | functor:synth2 2 | functor:synth 3 | functor:syn-rules 4 | functor:cprop 5 | functor:nodangle 6 | flag:DLL=vvp.tgt 7 | -------------------------------------------------------------------------------- /tgt-vvp/vvp.conf.in: -------------------------------------------------------------------------------- 1 | functor:cprop 2 | functor:nodangle 3 | flag:DLL=vvp.tgt 4 | -------------------------------------------------------------------------------- /vvp/examples/memory.hex: -------------------------------------------------------------------------------- 1 | ab cd ef 01 2 | 23 45 67 89 3 | -------------------------------------------------------------------------------- /vvp/vvp_vpi.cc: -------------------------------------------------------------------------------- 1 | 2 | #include <cstdarg> 3 | #include "vpi_user.h" 4 | 5 | 6 | void vvp_vpi_init() 7 | { 8 | } 9 | 10 | --------------------------------------------------------------------------------