├── COPYING ├── IDEAS ├── Manifest.py ├── demo ├── .gitignore ├── build.sh ├── crt0-lm32.S ├── crt0-riscv.S ├── genramvhd.c ├── lm32.vhd ├── main.c ├── pp-printf.c ├── pp-printf.h ├── pp-vsprintf.c ├── puzzle1.txt ├── puzzle2.txt ├── puzzle3.txt ├── puzzle4.txt ├── ram.ld ├── riscv.vhd └── suduko.c ├── docs └── orconf2015.odp ├── jtag ├── .gitignore ├── bb.cpp ├── build.sh ├── jtag-console.cpp ├── jtag-gpio.cpp ├── jtag-load.cpp ├── jtag-rw.cpp ├── jtag.h └── opa.cpp ├── modelsim ├── .gitignore ├── Manifest.py ├── run.do ├── run.sh └── wave.do ├── opa.vhd ├── opa_components_pkg.vhd ├── opa_dbus.vhd ├── opa_decode.vhd ├── opa_dpram.vhd ├── opa_dpram_altera.vhd ├── opa_fast.vhd ├── opa_functions_pkg.vhd ├── opa_icache.vhd ├── opa_isa_base_pkg.vhd ├── opa_isa_pkg.vhd ├── opa_issue.vhd ├── opa_l1d.vhd ├── opa_lcell.vhd ├── opa_lcell_altera.vhd ├── opa_lfsr.vhd ├── opa_lm32_pkg.vhd ├── opa_pbus.vhd ├── opa_pkg.vhd ├── opa_predict.vhd ├── opa_prefixsum.vhd ├── opa_prim_mul.vhd ├── opa_prim_ternary.vhd ├── opa_regfile.vhd ├── opa_rename.vhd ├── opa_riscv_pkg.vhd ├── opa_sim_tb.vhd ├── opa_slow.vhd ├── opa_syn_tb.vhd ├── opa_tdpram.vhd ├── opts ├── extra-reg-decode-rf.patch └── smart-store.patch ├── pipeline ├── sim ├── .gitignore ├── sim.sh └── wave.gtkw └── syn ├── .gitignore ├── jtag.vhd ├── opa_syn_tb.qpf ├── opa_syn_tb.qsf ├── opa_syn_tb.sdc ├── opa_syn_tb.srf ├── pll.v ├── plot └── uart.vhd /COPYING: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/COPYING -------------------------------------------------------------------------------- /IDEAS: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/IDEAS -------------------------------------------------------------------------------- /Manifest.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/Manifest.py -------------------------------------------------------------------------------- /demo/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/.gitignore -------------------------------------------------------------------------------- /demo/build.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/build.sh -------------------------------------------------------------------------------- /demo/crt0-lm32.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/crt0-lm32.S -------------------------------------------------------------------------------- /demo/crt0-riscv.S: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/crt0-riscv.S -------------------------------------------------------------------------------- /demo/genramvhd.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/genramvhd.c -------------------------------------------------------------------------------- /demo/lm32.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/lm32.vhd -------------------------------------------------------------------------------- /demo/main.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/main.c -------------------------------------------------------------------------------- /demo/pp-printf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/pp-printf.c -------------------------------------------------------------------------------- /demo/pp-printf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/pp-printf.h -------------------------------------------------------------------------------- /demo/pp-vsprintf.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/pp-vsprintf.c -------------------------------------------------------------------------------- /demo/puzzle1.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/puzzle1.txt -------------------------------------------------------------------------------- /demo/puzzle2.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/puzzle2.txt -------------------------------------------------------------------------------- /demo/puzzle3.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/puzzle3.txt -------------------------------------------------------------------------------- /demo/puzzle4.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/puzzle4.txt -------------------------------------------------------------------------------- /demo/ram.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/ram.ld -------------------------------------------------------------------------------- /demo/riscv.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/riscv.vhd -------------------------------------------------------------------------------- /demo/suduko.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/demo/suduko.c -------------------------------------------------------------------------------- /docs/orconf2015.odp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/docs/orconf2015.odp -------------------------------------------------------------------------------- /jtag/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/jtag/.gitignore -------------------------------------------------------------------------------- /jtag/bb.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/jtag/bb.cpp -------------------------------------------------------------------------------- /jtag/build.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/jtag/build.sh -------------------------------------------------------------------------------- /jtag/jtag-console.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/jtag/jtag-console.cpp -------------------------------------------------------------------------------- /jtag/jtag-gpio.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/jtag/jtag-gpio.cpp -------------------------------------------------------------------------------- /jtag/jtag-load.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/jtag/jtag-load.cpp -------------------------------------------------------------------------------- /jtag/jtag-rw.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/jtag/jtag-rw.cpp -------------------------------------------------------------------------------- /jtag/jtag.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/jtag/jtag.h -------------------------------------------------------------------------------- /jtag/opa.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/jtag/opa.cpp -------------------------------------------------------------------------------- /modelsim/.gitignore: -------------------------------------------------------------------------------- 1 | Makefile 2 | modelsim.ini 3 | transcript 4 | vsim.wlf 5 | work/ 6 | -------------------------------------------------------------------------------- /modelsim/Manifest.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/modelsim/Manifest.py -------------------------------------------------------------------------------- /modelsim/run.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/modelsim/run.do -------------------------------------------------------------------------------- /modelsim/run.sh: -------------------------------------------------------------------------------- 1 | #! /bin/sh 2 | git clean -xfd . 3 | hdlmake 4 | vsim -do run.do 5 | -------------------------------------------------------------------------------- /modelsim/wave.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/modelsim/wave.do -------------------------------------------------------------------------------- /opa.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa.vhd -------------------------------------------------------------------------------- /opa_components_pkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_components_pkg.vhd -------------------------------------------------------------------------------- /opa_dbus.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_dbus.vhd -------------------------------------------------------------------------------- /opa_decode.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_decode.vhd -------------------------------------------------------------------------------- /opa_dpram.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_dpram.vhd -------------------------------------------------------------------------------- /opa_dpram_altera.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_dpram_altera.vhd -------------------------------------------------------------------------------- /opa_fast.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_fast.vhd -------------------------------------------------------------------------------- /opa_functions_pkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_functions_pkg.vhd -------------------------------------------------------------------------------- /opa_icache.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_icache.vhd -------------------------------------------------------------------------------- /opa_isa_base_pkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_isa_base_pkg.vhd -------------------------------------------------------------------------------- /opa_isa_pkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_isa_pkg.vhd -------------------------------------------------------------------------------- /opa_issue.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_issue.vhd -------------------------------------------------------------------------------- /opa_l1d.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_l1d.vhd -------------------------------------------------------------------------------- /opa_lcell.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_lcell.vhd -------------------------------------------------------------------------------- /opa_lcell_altera.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_lcell_altera.vhd -------------------------------------------------------------------------------- /opa_lfsr.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_lfsr.vhd -------------------------------------------------------------------------------- /opa_lm32_pkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_lm32_pkg.vhd -------------------------------------------------------------------------------- /opa_pbus.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_pbus.vhd -------------------------------------------------------------------------------- /opa_pkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_pkg.vhd -------------------------------------------------------------------------------- /opa_predict.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_predict.vhd -------------------------------------------------------------------------------- /opa_prefixsum.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_prefixsum.vhd -------------------------------------------------------------------------------- /opa_prim_mul.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_prim_mul.vhd -------------------------------------------------------------------------------- /opa_prim_ternary.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_prim_ternary.vhd -------------------------------------------------------------------------------- /opa_regfile.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_regfile.vhd -------------------------------------------------------------------------------- /opa_rename.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_rename.vhd -------------------------------------------------------------------------------- /opa_riscv_pkg.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_riscv_pkg.vhd -------------------------------------------------------------------------------- /opa_sim_tb.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_sim_tb.vhd -------------------------------------------------------------------------------- /opa_slow.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_slow.vhd -------------------------------------------------------------------------------- /opa_syn_tb.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_syn_tb.vhd -------------------------------------------------------------------------------- /opa_tdpram.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opa_tdpram.vhd -------------------------------------------------------------------------------- /opts/extra-reg-decode-rf.patch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opts/extra-reg-decode-rf.patch -------------------------------------------------------------------------------- /opts/smart-store.patch: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/opts/smart-store.patch -------------------------------------------------------------------------------- /pipeline: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/pipeline -------------------------------------------------------------------------------- /sim/.gitignore: -------------------------------------------------------------------------------- 1 | *.o 2 | *.cf 3 | opa_sim_tb 4 | testbench.ghw 5 | -------------------------------------------------------------------------------- /sim/sim.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/sim/sim.sh -------------------------------------------------------------------------------- /sim/wave.gtkw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/sim/wave.gtkw -------------------------------------------------------------------------------- /syn/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/syn/.gitignore -------------------------------------------------------------------------------- /syn/jtag.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/syn/jtag.vhd -------------------------------------------------------------------------------- /syn/opa_syn_tb.qpf: -------------------------------------------------------------------------------- 1 | PROJECT_REVISION = "opa_syn_tb" 2 | -------------------------------------------------------------------------------- /syn/opa_syn_tb.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/syn/opa_syn_tb.qsf -------------------------------------------------------------------------------- /syn/opa_syn_tb.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/syn/opa_syn_tb.sdc -------------------------------------------------------------------------------- /syn/opa_syn_tb.srf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/syn/opa_syn_tb.srf -------------------------------------------------------------------------------- /syn/pll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/syn/pll.v -------------------------------------------------------------------------------- /syn/plot: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/syn/plot -------------------------------------------------------------------------------- /syn/uart.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/terpstra/opa/HEAD/syn/uart.vhd --------------------------------------------------------------------------------