├── .coveragerc ├── .flake8 ├── .github └── workflows │ └── pythonpackage.yml ├── .gitignore ├── .gitlab-ci.yml ├── .readthedocs.yml ├── .travis.yml ├── CHANGELOG ├── CONTRIBUTING.md ├── LICENSE ├── Makefile ├── PortingSV2Python.md ├── README.md ├── __init__.py ├── bin ├── fixer.py ├── fixes │ └── fix_func_comments.py ├── function_signatures.py ├── status.pl ├── sv2py.pl └── test_file.py ├── ci ├── check_errors.sh ├── install_ghdl.sh ├── install_iverilog.sh ├── install_verilator.sh └── requirements.txt ├── devscripts ├── create_release.pl ├── create_release.py └── dev_requirements.txt ├── docs ├── Makefile ├── requirements.txt └── source │ ├── _static │ └── overflow_fix.css │ ├── base │ ├── uvm_barrier.rst │ ├── uvm_bottomup_phase.rst │ ├── uvm_callback.rst │ ├── uvm_cmdline_processor.rst │ ├── uvm_common_phases.rst │ ├── uvm_comparer.rst │ ├── uvm_component.rst │ ├── uvm_config_db.rst │ ├── uvm_coreservice.rst │ ├── uvm_domain.rst │ ├── uvm_event.rst │ ├── uvm_event_callback.rst │ ├── uvm_factory.rst │ ├── uvm_globals.rst │ ├── uvm_heartbeat.rst │ ├── uvm_links.rst │ ├── uvm_misc.rst │ ├── uvm_object.rst │ ├── uvm_object_globals.rst │ ├── uvm_objection.rst │ ├── uvm_packer.rst │ ├── uvm_phase.rst │ ├── uvm_pool.rst │ ├── uvm_port_base.rst │ ├── uvm_printer.rst │ ├── uvm_queue.rst │ ├── uvm_recorder.rst │ ├── uvm_registry.rst │ ├── uvm_report_catcher.rst │ ├── uvm_report_handler.rst │ ├── uvm_report_message.rst │ ├── uvm_report_object.rst │ ├── uvm_report_server.rst │ ├── uvm_resource.rst │ ├── uvm_resource_db.rst │ ├── uvm_root.rst │ ├── uvm_runtime_phases.rst │ ├── uvm_task_phase.rst │ ├── uvm_topdown_phase.rst │ ├── uvm_tr_database.rst │ ├── uvm_tr_stream.rst │ ├── uvm_transaction.rst │ └── uvm_traversal.rst │ ├── comps │ ├── uvm_agent.rst │ ├── uvm_algorithmic_comparator.rst │ ├── uvm_driver.rst │ ├── uvm_env.rst │ ├── uvm_in_order_comparator.rst │ ├── uvm_monitor.rst │ ├── uvm_pair.rst │ ├── uvm_policies.rst │ ├── uvm_push_driver.rst │ ├── uvm_random_stimulus.rst │ ├── uvm_scoreboard.rst │ ├── uvm_subscriber.rst │ └── uvm_test.rst │ ├── conf.py │ ├── dap │ ├── uvm_get_to_lock_dap.rst │ ├── uvm_set_before_get_dap.rst │ ├── uvm_set_get_dap_base.rst │ └── uvm_simple_lock_dap.rst │ ├── dpi │ └── uvm_hdl.rst │ ├── fig │ ├── 01_typical_uvm_tb_architecture.png │ ├── 02_uvm_agent.png │ ├── 03_uvm_class_diagram.png │ ├── 04_single_producer_consumer.png │ ├── 05_consumer_gets_from_producer.png │ ├── 06_using_uvm_tlm_fifo.png │ ├── 07_hierarchy_in_tlm.png │ ├── 08_analysis_communication.png │ ├── 09_socket_connections.png │ ├── 10_simplified_transaction_level_testbench.png │ ├── 11_highly_reusable_verification_component_agent.png │ ├── 12_sequencer_driver_interaction.png │ ├── 13_agent.png │ ├── 14_typical_uvm_environment_architecture.png │ ├── 15_sequence_item_flow_in_pull_mode.png │ ├── 16_subsequence_flow.png │ ├── 17_verification_environment_class_diagram.png │ ├── 18_standard_configuration_fields_and_locations.png │ ├── 19_virtual_sequence.png │ ├── 20_ubus_demo_environment.png │ ├── 21_register_model_in_uvm_environment.png │ ├── 22_register_model_class_collaboration.png │ ├── 23_design_structure_of_registers_fields_and_memories.png │ ├── 24_register_model_structure.png │ ├── 25_128_bit_register.png │ ├── 26_composite_register_structure.png │ ├── 27_register_sequence_running_directly_on_a_bus_sequencer.png │ ├── 28_register_sequence_running_as_a_virtual_sequence.png │ ├── 29_register_sequence_running_on_a_layered_sequencer.png │ ├── 30_integration_with_a_bus_monitor.png │ ├── 31_hierarchy_created_with_set_type_override_applied.png │ ├── 32_hierarchy_created_with_both_overrides_applied.png │ ├── 38_layering_drivers.png │ ├── 39_complex_arbitrary_protocol_stack.png │ ├── 40_ubus_example_architecture.png │ ├── 41_testbench_derived_from_uvm_env.png │ ├── 42_instance_of_ubus_env.png │ ├── 43_instance_of_ubus_master_agent.png │ ├── 44_instance_of_ubus_master_sequencer.png │ ├── 45_instance_of_ubus_master_driver.png │ ├── 46_instance_of_ubus_master_monitor.png │ ├── 47_example_write_waveform.png │ └── 48_example_read_waveform.png │ ├── index.rst │ ├── macros │ ├── uvm_callback_defines.rst │ ├── uvm_global_defines.rst │ ├── uvm_message_defines.rst │ ├── uvm_object_defines.rst │ ├── uvm_reg_defines.rst │ ├── uvm_sequence_defines.rst │ ├── uvm_tlm_defines.rst │ └── uvm_version_defines.rst │ ├── overviews │ ├── base.rst │ ├── cmdlineproc.rst │ ├── comparators.rst │ ├── components.rst │ ├── config_and_res.rst │ ├── containers.rst │ ├── dap.rst │ ├── factory.rst │ ├── globals.rst │ ├── intro.rst │ ├── macros.rst │ ├── phasing.rst │ ├── policies.rst │ ├── recording.rst │ ├── registers.rst │ ├── relnotes.rst │ ├── reporting.rst │ ├── sequencers.rst │ ├── sequences.rst │ ├── synchro.rst │ ├── test_phasing.rst │ ├── tlm1.rst │ ├── tlm2.rst │ └── tlm_ifs_and_ports.rst │ ├── reg │ ├── sequences │ │ ├── uvm_mem_access_seq.rst │ │ ├── uvm_mem_walk_seq.rst │ │ ├── uvm_reg_access_seq.rst │ │ ├── uvm_reg_bit_bash_seq.rst │ │ ├── uvm_reg_hw_reset_seq.rst │ │ ├── uvm_reg_mem_built_in_seq.rst │ │ ├── uvm_reg_mem_hdl_paths_seq.rst │ │ └── uvm_reg_mem_shared_access_seq.rst │ ├── uvm_mem.rst │ ├── uvm_mem_mam.rst │ ├── uvm_reg.rst │ ├── uvm_reg_adapter.rst │ ├── uvm_reg_backdoor.rst │ ├── uvm_reg_block.rst │ ├── uvm_reg_cbs.rst │ ├── uvm_reg_field.rst │ ├── uvm_reg_fifo.rst │ ├── uvm_reg_file.rst │ ├── uvm_reg_indirect.rst │ ├── uvm_reg_item.rst │ ├── uvm_reg_map.rst │ ├── uvm_reg_model.rst │ ├── uvm_reg_predictor.rst │ ├── uvm_reg_sequence.rst │ ├── uvm_vreg.rst │ └── uvm_vreg_field.rst │ ├── seq │ ├── uvm_push_sequencer.rst │ ├── uvm_sequence.rst │ ├── uvm_sequence_base.rst │ ├── uvm_sequence_item.rst │ ├── uvm_sequence_library.rst │ ├── uvm_sequencer.rst │ ├── uvm_sequencer_base.rst │ └── uvm_sequencer_param_base.rst │ ├── tlm1 │ ├── uvm_analysis_port.rst │ ├── uvm_exports.rst │ ├── uvm_imps.rst │ ├── uvm_ports.rst │ ├── uvm_sqr_connections.rst │ ├── uvm_sqr_ifs.rst │ ├── uvm_tlm_fifo_base.rst │ ├── uvm_tlm_fifos.rst │ ├── uvm_tlm_ifs.rst │ └── uvm_tlm_req_rsp.rst │ ├── tlm2 │ ├── uvm_tlm2_defines.rst │ ├── uvm_tlm2_exports.rst │ ├── uvm_tlm2_generic_payload.rst │ ├── uvm_tlm2_ifs.rst │ ├── uvm_tlm2_imps.rst │ ├── uvm_tlm2_ports.rst │ ├── uvm_tlm2_sockets.rst │ ├── uvm_tlm2_sockets_base.rst │ └── uvm_tlm2_time.rst │ ├── uvm_1.2_class_reference.rst │ └── uvm_users_guide_1.2.rst ├── gen_ctags.sh ├── pyproject.toml ├── pyrightconfig.json ├── pytype.cfg ├── setup.cfg ├── setup.py ├── src └── uvm │ ├── __init__.py │ ├── base │ ├── __init__.py │ ├── sv.py │ ├── uvm_barrier.py │ ├── uvm_bottomup_phase.py │ ├── uvm_callback.py │ ├── uvm_cmdline_processor.py │ ├── uvm_common_phases.py │ ├── uvm_comparer.py │ ├── uvm_component.py │ ├── uvm_config_db.py │ ├── uvm_coreservice.py │ ├── uvm_debug.py │ ├── uvm_domain.py │ ├── uvm_event.py │ ├── uvm_exceptions.py │ ├── uvm_factory.py │ ├── uvm_global_vars.py │ ├── uvm_globals.py │ ├── uvm_links.py │ ├── uvm_mailbox.py │ ├── uvm_misc.py │ ├── uvm_object.py │ ├── uvm_object_globals.py │ ├── uvm_objection.py │ ├── uvm_packer.py │ ├── uvm_phase.py │ ├── uvm_pool.py │ ├── uvm_port_base.py │ ├── uvm_printer.py │ ├── uvm_queue.py │ ├── uvm_recorder.py │ ├── uvm_registry.py │ ├── uvm_report_catcher.py │ ├── uvm_report_handler.py │ ├── uvm_report_message.py │ ├── uvm_report_object.py │ ├── uvm_report_server.py │ ├── uvm_resource.py │ ├── uvm_resource_db.py │ ├── uvm_root.py │ ├── uvm_runtime_phases.py │ ├── uvm_scheduler.py │ ├── uvm_scope_stack.py │ ├── uvm_server.py │ ├── uvm_spell_chkr.py │ ├── uvm_task_phase.py │ ├── uvm_topdown_phase.py │ ├── uvm_tr_database.py │ ├── uvm_tr_stream.py │ ├── uvm_transaction.py │ └── uvm_version.py │ ├── comps │ ├── __init__.py │ ├── uvm_agent.py │ ├── uvm_algorithmic_comparator.py │ ├── uvm_comps.py │ ├── uvm_driver.py │ ├── uvm_env.py │ ├── uvm_in_order_comparator.py │ ├── uvm_monitor.py │ ├── uvm_pair.py │ ├── uvm_policies.py │ ├── uvm_push_driver.py │ ├── uvm_random_stimulus.py │ ├── uvm_scoreboard.py │ ├── uvm_subscriber.py │ └── uvm_test.py │ ├── dap │ ├── __init__.py │ ├── uvm_dap.py │ ├── uvm_get_to_lock_dap.py │ ├── uvm_set_before_get_dap.py │ ├── uvm_set_get_dap_base.py │ └── uvm_simple_lock_dap.py │ ├── dpi │ ├── __init__.py │ └── uvm_hdl.py │ ├── macros │ ├── __init__.py │ ├── uvm_callback_defines.py │ ├── uvm_deprecated_defines.py │ ├── uvm_global_defines.py │ ├── uvm_message_defines.py │ ├── uvm_object_defines.py │ ├── uvm_phase_defines.py │ ├── uvm_printer_defines.py │ ├── uvm_reg_defines.py │ ├── uvm_sequence_defines.py │ ├── uvm_tlm_defines.py │ ├── uvm_undefineall.py │ └── uvm_version_defines.py │ ├── reg │ ├── __init__.py │ ├── sequences │ │ ├── __init__.py │ │ ├── uvm_mem_access_seq.py │ │ ├── uvm_mem_walk_seq.py │ │ ├── uvm_reg_access_seq.py │ │ ├── uvm_reg_bit_bash_seq.py │ │ ├── uvm_reg_hw_reset_seq.py │ │ ├── uvm_reg_mem_built_in_seq.py │ │ ├── uvm_reg_mem_hdl_paths_seq.py │ │ └── uvm_reg_mem_shared_access_seq.py │ ├── uvm_mem.py │ ├── uvm_mem_mam.py │ ├── uvm_reg.py │ ├── uvm_reg_adapter.py │ ├── uvm_reg_backdoor.py │ ├── uvm_reg_block.py │ ├── uvm_reg_cbs.py │ ├── uvm_reg_field.py │ ├── uvm_reg_fifo.py │ ├── uvm_reg_file.py │ ├── uvm_reg_indirect.py │ ├── uvm_reg_item.py │ ├── uvm_reg_map.py │ ├── uvm_reg_model.py │ ├── uvm_reg_predictor.py │ ├── uvm_reg_sequence.py │ ├── uvm_vreg.py │ └── uvm_vreg_field.py │ ├── seq │ ├── __init__.py │ ├── uvm_push_sequencer.py │ ├── uvm_seq.py │ ├── uvm_sequence.py │ ├── uvm_sequence_base.py │ ├── uvm_sequence_builtin.py │ ├── uvm_sequence_item.py │ ├── uvm_sequence_library.py │ ├── uvm_sequencer.py │ ├── uvm_sequencer_analysis_fifo.py │ ├── uvm_sequencer_base.py │ └── uvm_sequencer_param_base.py │ ├── tlm1 │ ├── __init__.py │ ├── uvm_analysis_port.py │ ├── uvm_exports.py │ ├── uvm_imps.py │ ├── uvm_ports.py │ ├── uvm_sqr_connections.py │ ├── uvm_sqr_ifs.py │ ├── uvm_tlm.py │ ├── uvm_tlm_fifo_base.py │ ├── uvm_tlm_fifos.py │ ├── uvm_tlm_ifs.py │ ├── uvm_tlm_imps.py │ └── uvm_tlm_req_rsp.py │ ├── tlm2 │ ├── __init__.py │ ├── uvm_tlm2.py │ ├── uvm_tlm2_defines.py │ ├── uvm_tlm2_exports.py │ ├── uvm_tlm2_generic_payload.py │ ├── uvm_tlm2_ifs.py │ ├── uvm_tlm2_imps.py │ ├── uvm_tlm2_ports.py │ ├── uvm_tlm2_sockets.py │ ├── uvm_tlm2_sockets_base.py │ ├── uvm_tlm2_time.py │ └── uvm_tlm_time.py │ ├── uvm_macros.py │ ├── uvm_pkg.py │ ├── uvm_unit.py │ └── version.py ├── test ├── .gitignore ├── Makefile ├── examples │ ├── integrated │ │ ├── Makefile │ │ ├── README.txt │ │ ├── apb │ │ │ ├── __init__.py │ │ │ ├── apb.sv │ │ │ ├── apb_agent.py │ │ │ ├── apb_agent.sv │ │ │ ├── apb_config.py │ │ │ ├── apb_config.sv │ │ │ ├── apb_if.py │ │ │ ├── apb_if.sv │ │ │ ├── apb_master.py │ │ │ ├── apb_master.sv │ │ │ ├── apb_monitor.py │ │ │ ├── apb_monitor.sv │ │ │ ├── apb_rw.py │ │ │ ├── apb_rw.sv │ │ │ ├── apb_sequencer.py │ │ │ └── apb_sequencer.sv │ │ ├── codec │ │ │ ├── Makefile │ │ │ ├── README.txt │ │ │ ├── __init__.py │ │ │ ├── apb2txrx.py │ │ │ ├── apb2txrx.svh │ │ │ ├── block_diagram.pdf │ │ │ ├── dut.sv │ │ │ ├── reg_model.py │ │ │ ├── reg_model.svh │ │ │ ├── sym_sb.py │ │ │ ├── sym_sb.svh │ │ │ ├── tb_env.py │ │ │ ├── tb_env.svh │ │ │ ├── tb_top.sv │ │ │ ├── test.sv │ │ │ ├── test_codec.py │ │ │ ├── testlib.py │ │ │ ├── testlib.svh │ │ │ └── vip │ │ │ │ ├── __init__.py │ │ │ │ ├── vip_agent.py │ │ │ │ ├── vip_agent.svh │ │ │ │ ├── vip_driver.py │ │ │ │ ├── vip_driver.svh │ │ │ │ ├── vip_if.py │ │ │ │ ├── vip_if.sv │ │ │ │ ├── vip_monitor.py │ │ │ │ ├── vip_monitor.svh │ │ │ │ ├── vip_seqlib.py │ │ │ │ ├── vip_seqlib.svh │ │ │ │ ├── vip_sequencer.py │ │ │ │ ├── vip_tr.py │ │ │ │ └── vip_tr.svh │ │ └── ubus │ │ │ ├── examples │ │ │ ├── Makefile │ │ │ ├── dut_dummy.v │ │ │ ├── test_lib.py │ │ │ ├── test_lib.sv │ │ │ ├── ubus_example_master_seq_lib.py │ │ │ ├── ubus_example_master_seq_lib.sv │ │ │ ├── ubus_example_scoreboard.py │ │ │ ├── ubus_example_scoreboard.sv │ │ │ ├── ubus_example_tb.py │ │ │ ├── ubus_example_tb.sv │ │ │ ├── ubus_tb_top.py │ │ │ ├── ubus_tb_top.sv │ │ │ └── vsim.do │ │ │ ├── py │ │ │ ├── ubus_bus_monitor.py │ │ │ ├── ubus_env.py │ │ │ ├── ubus_if.py │ │ │ ├── ubus_master_agent.py │ │ │ ├── ubus_master_driver.py │ │ │ ├── ubus_master_monitor.py │ │ │ ├── ubus_master_seq_lib.py │ │ │ ├── ubus_master_sequencer.py │ │ │ ├── ubus_pkg.py │ │ │ ├── ubus_slave_agent.py │ │ │ ├── ubus_slave_driver.py │ │ │ ├── ubus_slave_monitor.py │ │ │ ├── ubus_slave_seq_lib.py │ │ │ ├── ubus_slave_sequencer.py │ │ │ ├── ubus_transfer.py │ │ │ └── ubus_version.svh │ │ │ └── sv │ │ │ ├── ubus_bus_monitor.sv │ │ │ ├── ubus_env.sv │ │ │ ├── ubus_if.sv │ │ │ ├── ubus_master_agent.sv │ │ │ ├── ubus_master_driver.sv │ │ │ ├── ubus_master_monitor.sv │ │ │ ├── ubus_master_seq_lib.sv │ │ │ ├── ubus_master_sequencer.sv │ │ │ ├── ubus_pkg.sv │ │ │ ├── ubus_slave_agent.sv │ │ │ ├── ubus_slave_driver.sv │ │ │ ├── ubus_slave_monitor.sv │ │ │ ├── ubus_slave_seq_lib.sv │ │ │ ├── ubus_slave_sequencer.sv │ │ │ ├── ubus_transfer.sv │ │ │ └── ubus_version.svh │ ├── minimal │ │ ├── Makefile │ │ ├── new_dut.sv │ │ └── new_test.py │ ├── simple │ │ ├── Makefile │ │ ├── MakefileCommon.mk │ │ ├── README.txt │ │ ├── TODO.md │ │ ├── basic_examples │ │ │ ├── event_pool │ │ │ │ ├── Makefile │ │ │ │ └── test.py │ │ │ ├── module │ │ │ │ ├── Makefile │ │ │ │ ├── test.py │ │ │ │ └── test.sv │ │ │ └── pkg │ │ │ │ ├── Makefile │ │ │ │ ├── test.py │ │ │ │ └── test.sv │ │ ├── callbacks │ │ │ ├── Makefile │ │ │ ├── top.py │ │ │ └── top.sv │ │ ├── cmdline │ │ │ ├── Makefile │ │ │ └── test_cmdline_args.py │ │ ├── common_stub.sv │ │ ├── common_stub.vhd │ │ ├── comps │ │ │ └── comparator │ │ │ │ ├── Makefile │ │ │ │ └── test_comparators.py │ │ ├── configuration │ │ │ ├── automated │ │ │ │ ├── Makefile │ │ │ │ ├── classA.py │ │ │ │ ├── classA.svh │ │ │ │ ├── classB.py │ │ │ │ ├── classB.svh │ │ │ │ ├── classC.py │ │ │ │ ├── classC.svh │ │ │ │ ├── module_top.sv │ │ │ │ ├── my_env_pkg.py │ │ │ │ ├── my_env_pkg.sv │ │ │ │ ├── top.py │ │ │ │ └── top.sv │ │ │ └── manual │ │ │ │ ├── Makefile │ │ │ │ ├── classA.py │ │ │ │ ├── classA.svh │ │ │ │ ├── classB.py │ │ │ │ ├── classB.svh │ │ │ │ ├── classC.py │ │ │ │ ├── classC.svh │ │ │ │ ├── module_top.sv │ │ │ │ ├── my_env_pkg.py │ │ │ │ ├── my_env_pkg.sv │ │ │ │ ├── top.py │ │ │ │ └── top.sv │ │ ├── factory │ │ │ ├── Makefile │ │ │ ├── env_pkg.py │ │ │ ├── env_pkg.sv │ │ │ ├── gen_pkg.py │ │ │ ├── gen_pkg.sv │ │ │ ├── packet_pkg.py │ │ │ ├── packet_pkg.sv │ │ │ ├── test.py │ │ │ └── test.sv │ │ ├── hello_world │ │ │ ├── Makefile │ │ │ ├── __init__.py │ │ │ ├── consumer.py │ │ │ ├── consumer.sv │ │ │ ├── hello_world.py │ │ │ ├── hello_world.sv │ │ │ ├── packet.py │ │ │ ├── packet.sv │ │ │ ├── producer.py │ │ │ ├── producer.sv │ │ │ ├── top.py │ │ │ └── top.sv │ │ ├── interfaces │ │ │ ├── Makefile │ │ │ ├── dut.sv │ │ │ ├── interface.py │ │ │ └── interface.sv │ │ ├── objections │ │ │ ├── Makefile │ │ │ ├── simple.py │ │ │ └── simple.sv │ │ ├── phases │ │ │ ├── basic │ │ │ │ ├── Makefile │ │ │ │ ├── test.py │ │ │ │ └── test.sv │ │ │ ├── run_test │ │ │ │ └── test.sv │ │ │ └── timeout │ │ │ │ ├── Makefile │ │ │ │ ├── tb_env.py │ │ │ │ ├── tb_env.svh │ │ │ │ ├── tb_timer.py │ │ │ │ ├── tb_timer.svh │ │ │ │ ├── test.py │ │ │ │ └── test.sv │ │ ├── registers │ │ │ ├── common │ │ │ │ ├── Makefile │ │ │ │ ├── __init__.py │ │ │ │ ├── any_agent.sv │ │ │ │ ├── any_config.sv │ │ │ │ ├── apb │ │ │ │ │ ├── apb_agent.py │ │ │ │ │ ├── apb_agent.sv │ │ │ │ │ ├── apb_master.py │ │ │ │ │ ├── apb_master.sv │ │ │ │ │ ├── apb_monitor.py │ │ │ │ │ └── apb_monitor.sv │ │ │ │ ├── reg_agent.py │ │ │ │ ├── reg_agent.sv │ │ │ │ └── wishbone │ │ │ │ │ ├── agent.sv │ │ │ │ │ ├── config.sv │ │ │ │ │ ├── cycle.sv │ │ │ │ │ ├── driver.sv │ │ │ │ │ ├── wb_if.sv │ │ │ │ │ └── wishbone.sv │ │ │ ├── integration │ │ │ │ ├── 10direct │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── tb_env.py │ │ │ │ │ ├── tb_env.sv │ │ │ │ │ └── test_top.py │ │ │ │ ├── 20layered │ │ │ │ │ └── tb_env.sv │ │ │ │ └── common │ │ │ │ │ ├── dut.sv │ │ │ │ │ ├── dut_with_clkgen.sv │ │ │ │ │ ├── regmodel.py │ │ │ │ │ ├── regmodel.sv │ │ │ │ │ ├── tb_top.sv │ │ │ │ │ ├── test.py │ │ │ │ │ └── test.sv │ │ │ ├── models │ │ │ │ ├── aliasing │ │ │ │ │ ├── regmodel.sv │ │ │ │ │ ├── tb_env.sv │ │ │ │ │ └── tb_run.sv │ │ │ │ ├── broadcast │ │ │ │ │ ├── dut.sv │ │ │ │ │ ├── regmodel.sv │ │ │ │ │ ├── tb_env.sv │ │ │ │ │ ├── tb_run.sv │ │ │ │ │ └── tb_top.sv │ │ │ │ ├── coverage │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── reg_mem_dut.sv │ │ │ │ │ ├── regmodel.py │ │ │ │ │ ├── regmodel.sv │ │ │ │ │ ├── tb_env.py │ │ │ │ │ ├── tb_env.sv │ │ │ │ │ ├── tb_run.py │ │ │ │ │ └── tb_run.sv │ │ │ │ ├── fifo_reg │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── dut.sv │ │ │ │ │ ├── dut.vhd │ │ │ │ │ ├── reg_model.py │ │ │ │ │ ├── reg_model.sv │ │ │ │ │ ├── tb_env.py │ │ │ │ │ ├── tb_env.sv │ │ │ │ │ ├── tb_run.py │ │ │ │ │ └── tb_run.sv │ │ │ │ ├── not_yet_implemented │ │ │ │ │ ├── regmodel.sv │ │ │ │ │ ├── tb_env.sv │ │ │ │ │ └── tb_run.sv │ │ │ │ ├── reg_without_field │ │ │ │ │ ├── regmodel.sv │ │ │ │ │ ├── tb_env.sv │ │ │ │ │ └── tb_run.sv │ │ │ │ ├── ro_wo_same_addr │ │ │ │ │ ├── regmodel.sv │ │ │ │ │ ├── tb_env.sv │ │ │ │ │ └── tb_run.sv │ │ │ │ ├── shared_reg │ │ │ │ │ ├── blk_env.sv │ │ │ │ │ ├── blk_pkg.sv │ │ │ │ │ ├── blk_run.sv │ │ │ │ │ ├── blk_seqlib.sv │ │ │ │ │ ├── blk_testlib.sv │ │ │ │ │ ├── reg_B.sv │ │ │ │ │ └── reg_pkg.sv │ │ │ │ ├── user-defined │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── dut.sv │ │ │ │ │ ├── regmodel.py │ │ │ │ │ ├── regmodel.sv │ │ │ │ │ ├── tb_env.py │ │ │ │ │ ├── tb_env.sv │ │ │ │ │ ├── tb_run.py │ │ │ │ │ └── tb_run.sv │ │ │ │ └── virtual_regs │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── dut.sv │ │ │ │ │ ├── regmodel.py │ │ │ │ │ ├── tb_env.py │ │ │ │ │ ├── tb_run.py │ │ │ │ │ └── virtual_regs.rdl │ │ │ ├── primer │ │ │ │ ├── Makefile │ │ │ │ ├── cmdline_test.py │ │ │ │ ├── cmdline_test.sv │ │ │ │ ├── dut.sv │ │ │ │ ├── mem_test.py │ │ │ │ ├── primer.pdf │ │ │ │ ├── reg_model.py │ │ │ │ ├── reg_model.sv │ │ │ │ ├── tb_env.py │ │ │ │ ├── tb_env.sv │ │ │ │ ├── tb_top.sv │ │ │ │ ├── test.py │ │ │ │ ├── test.sv │ │ │ │ ├── testlib.py │ │ │ │ ├── testlib.sv │ │ │ │ ├── user_test.py │ │ │ │ └── user_test.sv │ │ │ ├── sequence_api │ │ │ │ ├── README.txt │ │ │ │ ├── blk_dut.sv │ │ │ │ ├── blk_env.sv │ │ │ │ ├── blk_pkg.sv │ │ │ │ ├── blk_reg_pkg.sv │ │ │ │ ├── blk_run.sv │ │ │ │ ├── blk_seqlib.sv │ │ │ │ ├── blk_testlib.sv │ │ │ │ ├── blk_top.sv │ │ │ │ └── reg_B.sv │ │ │ └── vertical_reuse │ │ │ │ ├── Makefile │ │ │ │ ├── blk_dut.sv │ │ │ │ ├── blk_dut.vhd │ │ │ │ ├── blk_env.py │ │ │ │ ├── blk_env.sv │ │ │ │ ├── blk_pkg.sv │ │ │ │ ├── blk_reg_pkg.sv │ │ │ │ ├── blk_run.py │ │ │ │ ├── blk_run.sv │ │ │ │ ├── blk_seqlib.py │ │ │ │ ├── blk_seqlib.sv │ │ │ │ ├── blk_testlib.py │ │ │ │ ├── blk_testlib.sv │ │ │ │ ├── blk_top.sv │ │ │ │ ├── reg_B.py │ │ │ │ ├── reg_B.sv │ │ │ │ ├── reg_S.py │ │ │ │ ├── reg_S.sv │ │ │ │ ├── sys_dut.sv │ │ │ │ ├── sys_dut.vhd │ │ │ │ ├── sys_env.py │ │ │ │ ├── sys_env.sv │ │ │ │ ├── sys_pkg.sv │ │ │ │ ├── sys_reg_pkg.sv │ │ │ │ ├── sys_run.py │ │ │ │ ├── sys_run.sv │ │ │ │ ├── sys_seqlib.py │ │ │ │ ├── sys_seqlib.sv │ │ │ │ ├── sys_testlib.py │ │ │ │ ├── sys_testlib.sv │ │ │ │ └── sys_top.sv │ │ ├── reporting │ │ │ ├── Makefile │ │ │ └── test_reporting.py │ │ ├── sequence │ │ │ ├── basic_read_write_sequence │ │ │ │ ├── Makefile │ │ │ │ ├── top.py │ │ │ │ └── top.sv │ │ │ ├── forking │ │ │ │ ├── Makefile │ │ │ │ └── seq_fork_test_top.py │ │ │ └── uvm_dos │ │ │ │ ├── Makefile │ │ │ │ └── top_uvm_dos.py │ │ ├── tlm1 │ │ │ ├── bidir │ │ │ │ ├── Makefile │ │ │ │ ├── bidir.py │ │ │ │ └── bidir.sv │ │ │ ├── fifo │ │ │ │ ├── Makefile │ │ │ │ ├── test.py │ │ │ │ └── test.sv │ │ │ ├── hierarchy │ │ │ │ ├── Makefile │ │ │ │ ├── hierarchy.py │ │ │ │ ├── hierarchy.sv │ │ │ │ └── module_top.sv │ │ │ ├── master_slave │ │ │ │ ├── Makefile │ │ │ │ ├── master_slave_pkg.py │ │ │ │ └── test_master_slave.py │ │ │ └── producer_consumer │ │ │ │ ├── Makefile │ │ │ │ ├── fifo.py │ │ │ │ ├── fifo.sv │ │ │ │ ├── module_top.sv │ │ │ │ └── module_top.vhd │ │ ├── tlm2 │ │ │ ├── blocking_simple │ │ │ │ ├── Makefile │ │ │ │ ├── apb_rw.py │ │ │ │ ├── initiator.py │ │ │ │ ├── target.py │ │ │ │ ├── tb_env.py │ │ │ │ └── tb_run.py │ │ │ ├── nonblocking_simple │ │ │ │ ├── Makefile │ │ │ │ ├── README.txt │ │ │ │ ├── device.py │ │ │ │ ├── device.sv │ │ │ │ ├── host.py │ │ │ │ ├── host.sv │ │ │ │ ├── tb_env.py │ │ │ │ ├── tb_env.sv │ │ │ │ ├── tb_run.py │ │ │ │ ├── tb_run.sv │ │ │ │ ├── usb_xfer.py │ │ │ │ └── usb_xfer.sv │ │ │ └── temporal_decoupling │ │ │ │ ├── apb_rw.sv │ │ │ │ ├── initiator.sv │ │ │ │ ├── target.sv │ │ │ │ ├── tb_env.sv │ │ │ │ └── tb_run.sv │ │ └── trivial │ │ │ ├── Makefile │ │ │ └── component.py │ └── sv │ │ ├── Makefile │ │ └── test_forks.py ├── hdl │ └── uvm_test.v ├── sim_integration │ ├── Makefile │ ├── module_top.sv │ ├── test_uvm_events.py │ └── uvm_testlib.py ├── test_uvm.py └── test_uvm_tlm.py └── unit ├── test_sv.py ├── test_uvm_analysis_port.py ├── test_uvm_callback.py ├── test_uvm_cmdline_processor.py ├── test_uvm_component.py ├── test_uvm_config_db.py ├── test_uvm_coreservice.py ├── test_uvm_domain.py ├── test_uvm_factory.py ├── test_uvm_globals.py ├── test_uvm_hdl.py ├── test_uvm_mailbox.py ├── test_uvm_misc.py ├── test_uvm_object.py ├── test_uvm_object_defines.py ├── test_uvm_objection.py ├── test_uvm_packer.py ├── test_uvm_phase.py ├── test_uvm_pool.py ├── test_uvm_port_base.py ├── test_uvm_ports.py ├── test_uvm_printer.py ├── test_uvm_queue.py ├── test_uvm_reg.py ├── test_uvm_reg_block.py ├── test_uvm_reg_field.py ├── test_uvm_reg_map.py ├── test_uvm_reg_model.py ├── test_uvm_reg_predictor.py ├── test_uvm_registry.py ├── test_uvm_report_catcher.py ├── test_uvm_report_handler.py ├── test_uvm_report_message.py ├── test_uvm_report_object.py ├── test_uvm_report_server.py ├── test_uvm_resource.py ├── test_uvm_resource_db.py ├── test_uvm_root.py ├── test_uvm_scope_stack.py ├── test_uvm_sequencer_base.py ├── test_uvm_spell_chkr.py ├── test_uvm_sqr_connections.py ├── test_uvm_tlm_fifos.py ├── test_uvm_tlm_imps.py └── test_uvm_topdown_phase.py /.coveragerc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/.coveragerc -------------------------------------------------------------------------------- /.flake8: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/.flake8 -------------------------------------------------------------------------------- /.github/workflows/pythonpackage.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/.github/workflows/pythonpackage.yml -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/.gitignore -------------------------------------------------------------------------------- /.gitlab-ci.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/.gitlab-ci.yml -------------------------------------------------------------------------------- /.readthedocs.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/.readthedocs.yml -------------------------------------------------------------------------------- /.travis.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/.travis.yml -------------------------------------------------------------------------------- /CHANGELOG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/CHANGELOG -------------------------------------------------------------------------------- /CONTRIBUTING.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/CONTRIBUTING.md -------------------------------------------------------------------------------- /LICENSE: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/LICENSE -------------------------------------------------------------------------------- /Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/Makefile -------------------------------------------------------------------------------- /PortingSV2Python.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/PortingSV2Python.md -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/README.md -------------------------------------------------------------------------------- /__init__.py: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /bin/fixer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/bin/fixer.py -------------------------------------------------------------------------------- /bin/fixes/fix_func_comments.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/bin/fixes/fix_func_comments.py -------------------------------------------------------------------------------- /bin/function_signatures.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/bin/function_signatures.py -------------------------------------------------------------------------------- /bin/status.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/bin/status.pl -------------------------------------------------------------------------------- /bin/sv2py.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/bin/sv2py.pl -------------------------------------------------------------------------------- /bin/test_file.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/bin/test_file.py -------------------------------------------------------------------------------- /ci/check_errors.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/ci/check_errors.sh -------------------------------------------------------------------------------- /ci/install_ghdl.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/ci/install_ghdl.sh -------------------------------------------------------------------------------- /ci/install_iverilog.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/ci/install_iverilog.sh -------------------------------------------------------------------------------- /ci/install_verilator.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/ci/install_verilator.sh -------------------------------------------------------------------------------- /ci/requirements.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/ci/requirements.txt -------------------------------------------------------------------------------- /devscripts/create_release.pl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/devscripts/create_release.pl -------------------------------------------------------------------------------- /devscripts/create_release.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/devscripts/create_release.py -------------------------------------------------------------------------------- /devscripts/dev_requirements.txt: -------------------------------------------------------------------------------- 1 | # Modules needed for PyPI release 2 | build 3 | twine 4 | -------------------------------------------------------------------------------- /docs/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/Makefile -------------------------------------------------------------------------------- /docs/requirements.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/requirements.txt -------------------------------------------------------------------------------- /docs/source/_static/overflow_fix.css: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/_static/overflow_fix.css -------------------------------------------------------------------------------- /docs/source/base/uvm_barrier.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_barrier.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_bottomup_phase.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_bottomup_phase.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_callback.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_callback.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_cmdline_processor.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_cmdline_processor.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_common_phases.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_common_phases.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_comparer.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_comparer.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_component.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_component.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_config_db.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_config_db.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_coreservice.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_coreservice.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_domain.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_domain.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_event.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_event.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_event_callback.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_event_callback.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_factory.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_factory.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_globals.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_globals.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_heartbeat.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_heartbeat.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_links.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_links.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_misc.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_misc.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_object.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_object.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_object_globals.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_object_globals.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_objection.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_objection.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_packer.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_packer.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_phase.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_phase.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_pool.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_pool.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_port_base.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_port_base.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_printer.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_printer.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_queue.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_queue.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_recorder.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_recorder.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_registry.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_registry.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_report_catcher.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_report_catcher.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_report_handler.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_report_handler.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_report_message.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_report_message.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_report_object.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_report_object.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_report_server.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_report_server.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_resource.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_resource.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_resource_db.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_resource_db.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_root.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_root.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_runtime_phases.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_runtime_phases.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_task_phase.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_task_phase.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_topdown_phase.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_topdown_phase.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_tr_database.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_tr_database.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_tr_stream.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_tr_stream.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_transaction.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_transaction.rst -------------------------------------------------------------------------------- /docs/source/base/uvm_traversal.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/base/uvm_traversal.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_agent.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_agent.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_algorithmic_comparator.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_algorithmic_comparator.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_driver.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_driver.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_env.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_env.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_in_order_comparator.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_in_order_comparator.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_monitor.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_monitor.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_pair.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_pair.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_policies.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_policies.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_push_driver.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_push_driver.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_random_stimulus.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_random_stimulus.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_scoreboard.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_scoreboard.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_subscriber.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_subscriber.rst -------------------------------------------------------------------------------- /docs/source/comps/uvm_test.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/comps/uvm_test.rst -------------------------------------------------------------------------------- /docs/source/conf.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/conf.py -------------------------------------------------------------------------------- /docs/source/dap/uvm_get_to_lock_dap.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/dap/uvm_get_to_lock_dap.rst -------------------------------------------------------------------------------- /docs/source/dap/uvm_set_before_get_dap.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/dap/uvm_set_before_get_dap.rst -------------------------------------------------------------------------------- /docs/source/dap/uvm_set_get_dap_base.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/dap/uvm_set_get_dap_base.rst -------------------------------------------------------------------------------- /docs/source/dap/uvm_simple_lock_dap.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/dap/uvm_simple_lock_dap.rst -------------------------------------------------------------------------------- /docs/source/dpi/uvm_hdl.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/dpi/uvm_hdl.rst -------------------------------------------------------------------------------- /docs/source/fig/01_typical_uvm_tb_architecture.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/01_typical_uvm_tb_architecture.png -------------------------------------------------------------------------------- /docs/source/fig/02_uvm_agent.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/02_uvm_agent.png -------------------------------------------------------------------------------- /docs/source/fig/03_uvm_class_diagram.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/03_uvm_class_diagram.png -------------------------------------------------------------------------------- /docs/source/fig/04_single_producer_consumer.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/04_single_producer_consumer.png -------------------------------------------------------------------------------- /docs/source/fig/05_consumer_gets_from_producer.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/05_consumer_gets_from_producer.png -------------------------------------------------------------------------------- /docs/source/fig/06_using_uvm_tlm_fifo.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/06_using_uvm_tlm_fifo.png -------------------------------------------------------------------------------- /docs/source/fig/07_hierarchy_in_tlm.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/07_hierarchy_in_tlm.png -------------------------------------------------------------------------------- /docs/source/fig/08_analysis_communication.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/08_analysis_communication.png -------------------------------------------------------------------------------- /docs/source/fig/09_socket_connections.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/09_socket_connections.png -------------------------------------------------------------------------------- /docs/source/fig/10_simplified_transaction_level_testbench.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/10_simplified_transaction_level_testbench.png -------------------------------------------------------------------------------- /docs/source/fig/11_highly_reusable_verification_component_agent.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/11_highly_reusable_verification_component_agent.png -------------------------------------------------------------------------------- /docs/source/fig/12_sequencer_driver_interaction.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/12_sequencer_driver_interaction.png -------------------------------------------------------------------------------- /docs/source/fig/13_agent.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/13_agent.png -------------------------------------------------------------------------------- /docs/source/fig/14_typical_uvm_environment_architecture.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/14_typical_uvm_environment_architecture.png -------------------------------------------------------------------------------- /docs/source/fig/15_sequence_item_flow_in_pull_mode.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/15_sequence_item_flow_in_pull_mode.png -------------------------------------------------------------------------------- /docs/source/fig/16_subsequence_flow.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/16_subsequence_flow.png -------------------------------------------------------------------------------- /docs/source/fig/17_verification_environment_class_diagram.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/17_verification_environment_class_diagram.png -------------------------------------------------------------------------------- /docs/source/fig/18_standard_configuration_fields_and_locations.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/18_standard_configuration_fields_and_locations.png -------------------------------------------------------------------------------- /docs/source/fig/19_virtual_sequence.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/19_virtual_sequence.png -------------------------------------------------------------------------------- /docs/source/fig/20_ubus_demo_environment.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/20_ubus_demo_environment.png -------------------------------------------------------------------------------- /docs/source/fig/21_register_model_in_uvm_environment.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/21_register_model_in_uvm_environment.png -------------------------------------------------------------------------------- /docs/source/fig/22_register_model_class_collaboration.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/22_register_model_class_collaboration.png -------------------------------------------------------------------------------- /docs/source/fig/24_register_model_structure.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/24_register_model_structure.png -------------------------------------------------------------------------------- /docs/source/fig/25_128_bit_register.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/25_128_bit_register.png -------------------------------------------------------------------------------- /docs/source/fig/26_composite_register_structure.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/26_composite_register_structure.png -------------------------------------------------------------------------------- /docs/source/fig/30_integration_with_a_bus_monitor.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/30_integration_with_a_bus_monitor.png -------------------------------------------------------------------------------- /docs/source/fig/32_hierarchy_created_with_both_overrides_applied.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/32_hierarchy_created_with_both_overrides_applied.png -------------------------------------------------------------------------------- /docs/source/fig/38_layering_drivers.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/38_layering_drivers.png -------------------------------------------------------------------------------- /docs/source/fig/39_complex_arbitrary_protocol_stack.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/39_complex_arbitrary_protocol_stack.png -------------------------------------------------------------------------------- /docs/source/fig/40_ubus_example_architecture.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/40_ubus_example_architecture.png -------------------------------------------------------------------------------- /docs/source/fig/41_testbench_derived_from_uvm_env.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/41_testbench_derived_from_uvm_env.png -------------------------------------------------------------------------------- /docs/source/fig/42_instance_of_ubus_env.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/42_instance_of_ubus_env.png -------------------------------------------------------------------------------- /docs/source/fig/43_instance_of_ubus_master_agent.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/43_instance_of_ubus_master_agent.png -------------------------------------------------------------------------------- /docs/source/fig/44_instance_of_ubus_master_sequencer.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/44_instance_of_ubus_master_sequencer.png -------------------------------------------------------------------------------- /docs/source/fig/45_instance_of_ubus_master_driver.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/45_instance_of_ubus_master_driver.png -------------------------------------------------------------------------------- /docs/source/fig/46_instance_of_ubus_master_monitor.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/46_instance_of_ubus_master_monitor.png -------------------------------------------------------------------------------- /docs/source/fig/47_example_write_waveform.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/47_example_write_waveform.png -------------------------------------------------------------------------------- /docs/source/fig/48_example_read_waveform.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/fig/48_example_read_waveform.png -------------------------------------------------------------------------------- /docs/source/index.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/index.rst -------------------------------------------------------------------------------- /docs/source/macros/uvm_callback_defines.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/macros/uvm_callback_defines.rst -------------------------------------------------------------------------------- /docs/source/macros/uvm_global_defines.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/macros/uvm_global_defines.rst -------------------------------------------------------------------------------- /docs/source/macros/uvm_message_defines.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/macros/uvm_message_defines.rst -------------------------------------------------------------------------------- /docs/source/macros/uvm_object_defines.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/macros/uvm_object_defines.rst -------------------------------------------------------------------------------- /docs/source/macros/uvm_reg_defines.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/macros/uvm_reg_defines.rst -------------------------------------------------------------------------------- /docs/source/macros/uvm_sequence_defines.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/macros/uvm_sequence_defines.rst -------------------------------------------------------------------------------- /docs/source/macros/uvm_tlm_defines.rst: -------------------------------------------------------------------------------- 1 | TLM 2 | --- 3 | 4 | .. automodule:: uvm.macros.uvm_tlm_defines 5 | -------------------------------------------------------------------------------- /docs/source/macros/uvm_version_defines.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/macros/uvm_version_defines.rst -------------------------------------------------------------------------------- /docs/source/overviews/base.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/base.rst -------------------------------------------------------------------------------- /docs/source/overviews/cmdlineproc.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/cmdlineproc.rst -------------------------------------------------------------------------------- /docs/source/overviews/comparators.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/comparators.rst -------------------------------------------------------------------------------- /docs/source/overviews/components.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/components.rst -------------------------------------------------------------------------------- /docs/source/overviews/config_and_res.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/config_and_res.rst -------------------------------------------------------------------------------- /docs/source/overviews/containers.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/containers.rst -------------------------------------------------------------------------------- /docs/source/overviews/dap.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/dap.rst -------------------------------------------------------------------------------- /docs/source/overviews/factory.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/factory.rst -------------------------------------------------------------------------------- /docs/source/overviews/globals.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/globals.rst -------------------------------------------------------------------------------- /docs/source/overviews/intro.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/intro.rst -------------------------------------------------------------------------------- /docs/source/overviews/macros.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/macros.rst -------------------------------------------------------------------------------- /docs/source/overviews/phasing.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/phasing.rst -------------------------------------------------------------------------------- /docs/source/overviews/policies.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/policies.rst -------------------------------------------------------------------------------- /docs/source/overviews/recording.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/recording.rst -------------------------------------------------------------------------------- /docs/source/overviews/registers.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/registers.rst -------------------------------------------------------------------------------- /docs/source/overviews/relnotes.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/relnotes.rst -------------------------------------------------------------------------------- /docs/source/overviews/reporting.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/reporting.rst -------------------------------------------------------------------------------- /docs/source/overviews/sequencers.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/sequencers.rst -------------------------------------------------------------------------------- /docs/source/overviews/sequences.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/sequences.rst -------------------------------------------------------------------------------- /docs/source/overviews/synchro.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/synchro.rst -------------------------------------------------------------------------------- /docs/source/overviews/test_phasing.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/test_phasing.rst -------------------------------------------------------------------------------- /docs/source/overviews/tlm1.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/tlm1.rst -------------------------------------------------------------------------------- /docs/source/overviews/tlm2.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/tlm2.rst -------------------------------------------------------------------------------- /docs/source/overviews/tlm_ifs_and_ports.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/overviews/tlm_ifs_and_ports.rst -------------------------------------------------------------------------------- /docs/source/reg/sequences/uvm_mem_access_seq.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/sequences/uvm_mem_access_seq.rst -------------------------------------------------------------------------------- /docs/source/reg/sequences/uvm_mem_walk_seq.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/sequences/uvm_mem_walk_seq.rst -------------------------------------------------------------------------------- /docs/source/reg/sequences/uvm_reg_access_seq.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/sequences/uvm_reg_access_seq.rst -------------------------------------------------------------------------------- /docs/source/reg/sequences/uvm_reg_bit_bash_seq.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/sequences/uvm_reg_bit_bash_seq.rst -------------------------------------------------------------------------------- /docs/source/reg/sequences/uvm_reg_hw_reset_seq.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/sequences/uvm_reg_hw_reset_seq.rst -------------------------------------------------------------------------------- /docs/source/reg/sequences/uvm_reg_mem_built_in_seq.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/sequences/uvm_reg_mem_built_in_seq.rst -------------------------------------------------------------------------------- /docs/source/reg/sequences/uvm_reg_mem_hdl_paths_seq.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/sequences/uvm_reg_mem_hdl_paths_seq.rst -------------------------------------------------------------------------------- /docs/source/reg/sequences/uvm_reg_mem_shared_access_seq.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/sequences/uvm_reg_mem_shared_access_seq.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_mem.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_mem.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_mem_mam.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_mem_mam.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_adapter.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_adapter.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_backdoor.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_backdoor.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_block.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_block.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_cbs.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_cbs.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_field.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_field.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_fifo.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_fifo.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_file.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_file.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_indirect.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_indirect.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_item.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_item.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_map.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_map.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_model.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_model.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_predictor.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_predictor.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_reg_sequence.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_reg_sequence.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_vreg.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_vreg.rst -------------------------------------------------------------------------------- /docs/source/reg/uvm_vreg_field.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/reg/uvm_vreg_field.rst -------------------------------------------------------------------------------- /docs/source/seq/uvm_push_sequencer.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/seq/uvm_push_sequencer.rst -------------------------------------------------------------------------------- /docs/source/seq/uvm_sequence.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/seq/uvm_sequence.rst -------------------------------------------------------------------------------- /docs/source/seq/uvm_sequence_base.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/seq/uvm_sequence_base.rst -------------------------------------------------------------------------------- /docs/source/seq/uvm_sequence_item.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/seq/uvm_sequence_item.rst -------------------------------------------------------------------------------- /docs/source/seq/uvm_sequence_library.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/seq/uvm_sequence_library.rst -------------------------------------------------------------------------------- /docs/source/seq/uvm_sequencer.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/seq/uvm_sequencer.rst -------------------------------------------------------------------------------- /docs/source/seq/uvm_sequencer_base.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/seq/uvm_sequencer_base.rst -------------------------------------------------------------------------------- /docs/source/seq/uvm_sequencer_param_base.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/seq/uvm_sequencer_param_base.rst -------------------------------------------------------------------------------- /docs/source/tlm1/uvm_analysis_port.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm1/uvm_analysis_port.rst -------------------------------------------------------------------------------- /docs/source/tlm1/uvm_exports.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm1/uvm_exports.rst -------------------------------------------------------------------------------- /docs/source/tlm1/uvm_imps.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm1/uvm_imps.rst -------------------------------------------------------------------------------- /docs/source/tlm1/uvm_ports.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm1/uvm_ports.rst -------------------------------------------------------------------------------- /docs/source/tlm1/uvm_sqr_connections.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm1/uvm_sqr_connections.rst -------------------------------------------------------------------------------- /docs/source/tlm1/uvm_sqr_ifs.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm1/uvm_sqr_ifs.rst -------------------------------------------------------------------------------- /docs/source/tlm1/uvm_tlm_fifo_base.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm1/uvm_tlm_fifo_base.rst -------------------------------------------------------------------------------- /docs/source/tlm1/uvm_tlm_fifos.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm1/uvm_tlm_fifos.rst -------------------------------------------------------------------------------- /docs/source/tlm1/uvm_tlm_ifs.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm1/uvm_tlm_ifs.rst -------------------------------------------------------------------------------- /docs/source/tlm1/uvm_tlm_req_rsp.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm1/uvm_tlm_req_rsp.rst -------------------------------------------------------------------------------- /docs/source/tlm2/uvm_tlm2_defines.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm2/uvm_tlm2_defines.rst -------------------------------------------------------------------------------- /docs/source/tlm2/uvm_tlm2_exports.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm2/uvm_tlm2_exports.rst -------------------------------------------------------------------------------- /docs/source/tlm2/uvm_tlm2_generic_payload.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm2/uvm_tlm2_generic_payload.rst -------------------------------------------------------------------------------- /docs/source/tlm2/uvm_tlm2_ifs.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm2/uvm_tlm2_ifs.rst -------------------------------------------------------------------------------- /docs/source/tlm2/uvm_tlm2_imps.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm2/uvm_tlm2_imps.rst -------------------------------------------------------------------------------- /docs/source/tlm2/uvm_tlm2_ports.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm2/uvm_tlm2_ports.rst -------------------------------------------------------------------------------- /docs/source/tlm2/uvm_tlm2_sockets.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm2/uvm_tlm2_sockets.rst -------------------------------------------------------------------------------- /docs/source/tlm2/uvm_tlm2_sockets_base.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm2/uvm_tlm2_sockets_base.rst -------------------------------------------------------------------------------- /docs/source/tlm2/uvm_tlm2_time.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/tlm2/uvm_tlm2_time.rst -------------------------------------------------------------------------------- /docs/source/uvm_1.2_class_reference.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/uvm_1.2_class_reference.rst -------------------------------------------------------------------------------- /docs/source/uvm_users_guide_1.2.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/docs/source/uvm_users_guide_1.2.rst -------------------------------------------------------------------------------- /gen_ctags.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/gen_ctags.sh -------------------------------------------------------------------------------- /pyproject.toml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/pyproject.toml -------------------------------------------------------------------------------- /pyrightconfig.json: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/pyrightconfig.json -------------------------------------------------------------------------------- /pytype.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/pytype.cfg -------------------------------------------------------------------------------- /setup.cfg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/setup.cfg -------------------------------------------------------------------------------- /setup.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/setup.py -------------------------------------------------------------------------------- /src/uvm/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/__init__.py -------------------------------------------------------------------------------- /src/uvm/base/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/__init__.py -------------------------------------------------------------------------------- /src/uvm/base/sv.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/sv.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_barrier.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_barrier.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_bottomup_phase.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_bottomup_phase.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_callback.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_callback.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_cmdline_processor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_cmdline_processor.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_common_phases.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_common_phases.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_comparer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_comparer.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_component.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_component.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_config_db.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_config_db.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_coreservice.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_coreservice.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_debug.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_debug.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_domain.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_domain.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_event.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_event.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_exceptions.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_exceptions.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_factory.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_factory.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_global_vars.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_global_vars.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_globals.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_globals.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_links.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_links.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_mailbox.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_mailbox.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_misc.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_misc.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_object.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_object.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_object_globals.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_object_globals.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_objection.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_objection.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_packer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_packer.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_phase.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_phase.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_pool.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_pool.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_port_base.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_port_base.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_printer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_printer.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_queue.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_queue.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_recorder.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_recorder.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_registry.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_registry.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_report_catcher.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_report_catcher.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_report_handler.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_report_handler.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_report_message.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_report_message.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_report_object.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_report_object.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_report_server.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_report_server.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_resource.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_resource.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_resource_db.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_resource_db.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_root.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_root.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_runtime_phases.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_runtime_phases.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_scheduler.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_scheduler.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_scope_stack.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_scope_stack.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_server.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_server.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_spell_chkr.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_spell_chkr.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_task_phase.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_task_phase.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_topdown_phase.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_topdown_phase.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_tr_database.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_tr_database.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_tr_stream.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_tr_stream.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_transaction.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_transaction.py -------------------------------------------------------------------------------- /src/uvm/base/uvm_version.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/base/uvm_version.py -------------------------------------------------------------------------------- /src/uvm/comps/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/__init__.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_agent.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_agent.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_algorithmic_comparator.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_algorithmic_comparator.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_comps.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_comps.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_driver.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_driver.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_env.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_in_order_comparator.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_in_order_comparator.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_monitor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_monitor.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_pair.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_pair.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_policies.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_policies.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_push_driver.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_push_driver.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_random_stimulus.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_random_stimulus.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_scoreboard.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_scoreboard.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_subscriber.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_subscriber.py -------------------------------------------------------------------------------- /src/uvm/comps/uvm_test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/comps/uvm_test.py -------------------------------------------------------------------------------- /src/uvm/dap/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/dap/__init__.py -------------------------------------------------------------------------------- /src/uvm/dap/uvm_dap.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/dap/uvm_dap.py -------------------------------------------------------------------------------- /src/uvm/dap/uvm_get_to_lock_dap.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/dap/uvm_get_to_lock_dap.py -------------------------------------------------------------------------------- /src/uvm/dap/uvm_set_before_get_dap.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/dap/uvm_set_before_get_dap.py -------------------------------------------------------------------------------- /src/uvm/dap/uvm_set_get_dap_base.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/dap/uvm_set_get_dap_base.py -------------------------------------------------------------------------------- /src/uvm/dap/uvm_simple_lock_dap.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/dap/uvm_simple_lock_dap.py -------------------------------------------------------------------------------- /src/uvm/dpi/__init__.py: -------------------------------------------------------------------------------- 1 | 2 | from .uvm_hdl import * 3 | -------------------------------------------------------------------------------- /src/uvm/dpi/uvm_hdl.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/dpi/uvm_hdl.py -------------------------------------------------------------------------------- /src/uvm/macros/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/__init__.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_callback_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_callback_defines.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_deprecated_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_deprecated_defines.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_global_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_global_defines.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_message_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_message_defines.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_object_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_object_defines.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_phase_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_phase_defines.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_printer_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_printer_defines.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_reg_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_reg_defines.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_sequence_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_sequence_defines.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_tlm_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_tlm_defines.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_undefineall.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_undefineall.py -------------------------------------------------------------------------------- /src/uvm/macros/uvm_version_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/macros/uvm_version_defines.py -------------------------------------------------------------------------------- /src/uvm/reg/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/__init__.py -------------------------------------------------------------------------------- /src/uvm/reg/sequences/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/sequences/__init__.py -------------------------------------------------------------------------------- /src/uvm/reg/sequences/uvm_mem_access_seq.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/sequences/uvm_mem_access_seq.py -------------------------------------------------------------------------------- /src/uvm/reg/sequences/uvm_mem_walk_seq.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/sequences/uvm_mem_walk_seq.py -------------------------------------------------------------------------------- /src/uvm/reg/sequences/uvm_reg_access_seq.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/sequences/uvm_reg_access_seq.py -------------------------------------------------------------------------------- /src/uvm/reg/sequences/uvm_reg_bit_bash_seq.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/sequences/uvm_reg_bit_bash_seq.py -------------------------------------------------------------------------------- /src/uvm/reg/sequences/uvm_reg_hw_reset_seq.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/sequences/uvm_reg_hw_reset_seq.py -------------------------------------------------------------------------------- /src/uvm/reg/sequences/uvm_reg_mem_built_in_seq.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/sequences/uvm_reg_mem_built_in_seq.py -------------------------------------------------------------------------------- /src/uvm/reg/sequences/uvm_reg_mem_hdl_paths_seq.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/sequences/uvm_reg_mem_hdl_paths_seq.py -------------------------------------------------------------------------------- /src/uvm/reg/sequences/uvm_reg_mem_shared_access_seq.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/sequences/uvm_reg_mem_shared_access_seq.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_mem.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_mem.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_mem_mam.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_mem_mam.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_adapter.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_adapter.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_backdoor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_backdoor.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_block.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_block.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_cbs.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_cbs.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_field.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_field.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_fifo.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_fifo.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_file.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_file.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_indirect.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_indirect.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_item.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_item.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_map.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_map.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_model.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_model.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_predictor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_predictor.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_reg_sequence.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_reg_sequence.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_vreg.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_vreg.py -------------------------------------------------------------------------------- /src/uvm/reg/uvm_vreg_field.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/reg/uvm_vreg_field.py -------------------------------------------------------------------------------- /src/uvm/seq/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/__init__.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_push_sequencer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_push_sequencer.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_seq.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_seq.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_sequence.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_sequence.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_sequence_base.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_sequence_base.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_sequence_builtin.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_sequence_builtin.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_sequence_item.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_sequence_item.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_sequence_library.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_sequence_library.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_sequencer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_sequencer.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_sequencer_analysis_fifo.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_sequencer_analysis_fifo.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_sequencer_base.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_sequencer_base.py -------------------------------------------------------------------------------- /src/uvm/seq/uvm_sequencer_param_base.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/seq/uvm_sequencer_param_base.py -------------------------------------------------------------------------------- /src/uvm/tlm1/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/__init__.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_analysis_port.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_analysis_port.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_exports.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_exports.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_imps.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_imps.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_ports.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_ports.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_sqr_connections.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_sqr_connections.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_sqr_ifs.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_sqr_ifs.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_tlm.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_tlm.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_tlm_fifo_base.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_tlm_fifo_base.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_tlm_fifos.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_tlm_fifos.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_tlm_ifs.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_tlm_ifs.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_tlm_imps.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_tlm_imps.py -------------------------------------------------------------------------------- /src/uvm/tlm1/uvm_tlm_req_rsp.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm1/uvm_tlm_req_rsp.py -------------------------------------------------------------------------------- /src/uvm/tlm2/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/__init__.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm2.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm2.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm2_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm2_defines.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm2_exports.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm2_exports.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm2_generic_payload.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm2_generic_payload.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm2_ifs.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm2_ifs.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm2_imps.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm2_imps.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm2_ports.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm2_ports.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm2_sockets.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm2_sockets.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm2_sockets_base.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm2_sockets_base.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm2_time.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm2_time.py -------------------------------------------------------------------------------- /src/uvm/tlm2/uvm_tlm_time.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/tlm2/uvm_tlm_time.py -------------------------------------------------------------------------------- /src/uvm/uvm_macros.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/uvm_macros.py -------------------------------------------------------------------------------- /src/uvm/uvm_pkg.py: -------------------------------------------------------------------------------- 1 | # flake8: noqa 2 | from .base.uvm_misc import * 3 | -------------------------------------------------------------------------------- /src/uvm/uvm_unit.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/src/uvm/uvm_unit.py -------------------------------------------------------------------------------- /src/uvm/version.py: -------------------------------------------------------------------------------- 1 | __version__ = "0.4.0" 2 | -------------------------------------------------------------------------------- /test/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/.gitignore -------------------------------------------------------------------------------- /test/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/Makefile -------------------------------------------------------------------------------- /test/examples/integrated/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/Makefile -------------------------------------------------------------------------------- /test/examples/integrated/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/README.txt -------------------------------------------------------------------------------- /test/examples/integrated/apb/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/__init__.py -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb.sv -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_agent.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_agent.py -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_agent.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_agent.sv -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_config.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_config.py -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_config.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_config.sv -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_if.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_if.py -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_if.sv -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_master.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_master.py -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_master.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_master.sv -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_monitor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_monitor.py -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_monitor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_monitor.sv -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_rw.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_rw.py -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_rw.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_rw.sv -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_sequencer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_sequencer.py -------------------------------------------------------------------------------- /test/examples/integrated/apb/apb_sequencer.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/apb/apb_sequencer.sv -------------------------------------------------------------------------------- /test/examples/integrated/codec/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/Makefile -------------------------------------------------------------------------------- /test/examples/integrated/codec/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/README.txt -------------------------------------------------------------------------------- /test/examples/integrated/codec/__init__.py: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /test/examples/integrated/codec/apb2txrx.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/apb2txrx.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/apb2txrx.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/apb2txrx.svh -------------------------------------------------------------------------------- /test/examples/integrated/codec/block_diagram.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/block_diagram.pdf -------------------------------------------------------------------------------- /test/examples/integrated/codec/dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/dut.sv -------------------------------------------------------------------------------- /test/examples/integrated/codec/reg_model.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/reg_model.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/reg_model.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/reg_model.svh -------------------------------------------------------------------------------- /test/examples/integrated/codec/sym_sb.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/sym_sb.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/sym_sb.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/sym_sb.svh -------------------------------------------------------------------------------- /test/examples/integrated/codec/tb_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/tb_env.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/tb_env.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/tb_env.svh -------------------------------------------------------------------------------- /test/examples/integrated/codec/tb_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/tb_top.sv -------------------------------------------------------------------------------- /test/examples/integrated/codec/test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/test.sv -------------------------------------------------------------------------------- /test/examples/integrated/codec/test_codec.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/test_codec.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/testlib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/testlib.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/testlib.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/testlib.svh -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/__init__.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/__init__.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_agent.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_agent.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_agent.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_agent.svh -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_driver.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_driver.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_driver.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_driver.svh -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_if.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_if.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_if.sv -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_monitor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_monitor.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_monitor.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_monitor.svh -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_seqlib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_seqlib.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_seqlib.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_seqlib.svh -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_sequencer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_sequencer.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_tr.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_tr.py -------------------------------------------------------------------------------- /test/examples/integrated/codec/vip/vip_tr.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/codec/vip/vip_tr.svh -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/Makefile -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/dut_dummy.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/dut_dummy.v -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/test_lib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/test_lib.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/test_lib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/test_lib.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/ubus_example_scoreboard.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/ubus_example_scoreboard.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/ubus_example_scoreboard.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/ubus_example_scoreboard.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/ubus_example_tb.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/ubus_example_tb.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/ubus_example_tb.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/ubus_example_tb.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/ubus_tb_top.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/ubus_tb_top.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/ubus_tb_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/ubus_tb_top.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/examples/vsim.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/examples/vsim.do -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_bus_monitor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_bus_monitor.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_env.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_if.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_if.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_master_agent.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_master_agent.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_master_driver.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_master_driver.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_master_monitor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_master_monitor.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_master_seq_lib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_master_seq_lib.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_master_sequencer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_master_sequencer.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_pkg.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_pkg.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_slave_agent.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_slave_agent.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_slave_driver.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_slave_driver.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_slave_monitor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_slave_monitor.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_slave_seq_lib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_slave_seq_lib.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_slave_sequencer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_slave_sequencer.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_transfer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_transfer.py -------------------------------------------------------------------------------- /test/examples/integrated/ubus/py/ubus_version.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/py/ubus_version.svh -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_bus_monitor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_bus_monitor.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_env.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_if.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_master_agent.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_master_agent.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_master_driver.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_master_driver.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_master_monitor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_master_monitor.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_master_seq_lib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_master_seq_lib.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_master_sequencer.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_master_sequencer.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_pkg.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_slave_agent.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_slave_agent.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_slave_driver.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_slave_driver.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_slave_monitor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_slave_monitor.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_slave_seq_lib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_slave_seq_lib.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_slave_sequencer.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_slave_sequencer.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_transfer.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_transfer.sv -------------------------------------------------------------------------------- /test/examples/integrated/ubus/sv/ubus_version.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/integrated/ubus/sv/ubus_version.svh -------------------------------------------------------------------------------- /test/examples/minimal/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/minimal/Makefile -------------------------------------------------------------------------------- /test/examples/minimal/new_dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/minimal/new_dut.sv -------------------------------------------------------------------------------- /test/examples/minimal/new_test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/minimal/new_test.py -------------------------------------------------------------------------------- /test/examples/simple/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/Makefile -------------------------------------------------------------------------------- /test/examples/simple/MakefileCommon.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/MakefileCommon.mk -------------------------------------------------------------------------------- /test/examples/simple/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/README.txt -------------------------------------------------------------------------------- /test/examples/simple/TODO.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/TODO.md -------------------------------------------------------------------------------- /test/examples/simple/basic_examples/event_pool/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/basic_examples/event_pool/Makefile -------------------------------------------------------------------------------- /test/examples/simple/basic_examples/event_pool/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/basic_examples/event_pool/test.py -------------------------------------------------------------------------------- /test/examples/simple/basic_examples/module/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/basic_examples/module/Makefile -------------------------------------------------------------------------------- /test/examples/simple/basic_examples/module/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/basic_examples/module/test.py -------------------------------------------------------------------------------- /test/examples/simple/basic_examples/module/test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/basic_examples/module/test.sv -------------------------------------------------------------------------------- /test/examples/simple/basic_examples/pkg/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/basic_examples/pkg/Makefile -------------------------------------------------------------------------------- /test/examples/simple/basic_examples/pkg/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/basic_examples/pkg/test.py -------------------------------------------------------------------------------- /test/examples/simple/basic_examples/pkg/test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/basic_examples/pkg/test.sv -------------------------------------------------------------------------------- /test/examples/simple/callbacks/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/callbacks/Makefile -------------------------------------------------------------------------------- /test/examples/simple/callbacks/top.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/callbacks/top.py -------------------------------------------------------------------------------- /test/examples/simple/callbacks/top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/callbacks/top.sv -------------------------------------------------------------------------------- /test/examples/simple/cmdline/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/cmdline/Makefile -------------------------------------------------------------------------------- /test/examples/simple/cmdline/test_cmdline_args.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/cmdline/test_cmdline_args.py -------------------------------------------------------------------------------- /test/examples/simple/common_stub.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/common_stub.sv -------------------------------------------------------------------------------- /test/examples/simple/common_stub.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/common_stub.vhd -------------------------------------------------------------------------------- /test/examples/simple/comps/comparator/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/comps/comparator/Makefile -------------------------------------------------------------------------------- /test/examples/simple/comps/comparator/test_comparators.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/comps/comparator/test_comparators.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/Makefile -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/classA.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/classA.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/classA.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/classA.svh -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/classB.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/classB.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/classB.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/classB.svh -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/classC.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/classC.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/classC.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/classC.svh -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/module_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/module_top.sv -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/my_env_pkg.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/my_env_pkg.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/my_env_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/my_env_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/top.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/top.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/automated/top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/automated/top.sv -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/Makefile -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/classA.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/classA.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/classA.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/classA.svh -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/classB.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/classB.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/classB.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/classB.svh -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/classC.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/classC.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/classC.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/classC.svh -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/module_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/module_top.sv -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/my_env_pkg.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/my_env_pkg.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/my_env_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/my_env_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/top.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/top.py -------------------------------------------------------------------------------- /test/examples/simple/configuration/manual/top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/configuration/manual/top.sv -------------------------------------------------------------------------------- /test/examples/simple/factory/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/factory/Makefile -------------------------------------------------------------------------------- /test/examples/simple/factory/env_pkg.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/factory/env_pkg.py -------------------------------------------------------------------------------- /test/examples/simple/factory/env_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/factory/env_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/factory/gen_pkg.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/factory/gen_pkg.py -------------------------------------------------------------------------------- /test/examples/simple/factory/gen_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/factory/gen_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/factory/packet_pkg.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/factory/packet_pkg.py -------------------------------------------------------------------------------- /test/examples/simple/factory/packet_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/factory/packet_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/factory/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/factory/test.py -------------------------------------------------------------------------------- /test/examples/simple/factory/test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/factory/test.sv -------------------------------------------------------------------------------- /test/examples/simple/hello_world/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/Makefile -------------------------------------------------------------------------------- /test/examples/simple/hello_world/__init__.py: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /test/examples/simple/hello_world/consumer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/consumer.py -------------------------------------------------------------------------------- /test/examples/simple/hello_world/consumer.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/consumer.sv -------------------------------------------------------------------------------- /test/examples/simple/hello_world/hello_world.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/hello_world.py -------------------------------------------------------------------------------- /test/examples/simple/hello_world/hello_world.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/hello_world.sv -------------------------------------------------------------------------------- /test/examples/simple/hello_world/packet.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/packet.py -------------------------------------------------------------------------------- /test/examples/simple/hello_world/packet.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/packet.sv -------------------------------------------------------------------------------- /test/examples/simple/hello_world/producer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/producer.py -------------------------------------------------------------------------------- /test/examples/simple/hello_world/producer.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/producer.sv -------------------------------------------------------------------------------- /test/examples/simple/hello_world/top.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/top.py -------------------------------------------------------------------------------- /test/examples/simple/hello_world/top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/hello_world/top.sv -------------------------------------------------------------------------------- /test/examples/simple/interfaces/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/interfaces/Makefile -------------------------------------------------------------------------------- /test/examples/simple/interfaces/dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/interfaces/dut.sv -------------------------------------------------------------------------------- /test/examples/simple/interfaces/interface.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/interfaces/interface.py -------------------------------------------------------------------------------- /test/examples/simple/interfaces/interface.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/interfaces/interface.sv -------------------------------------------------------------------------------- /test/examples/simple/objections/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/objections/Makefile -------------------------------------------------------------------------------- /test/examples/simple/objections/simple.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/objections/simple.py -------------------------------------------------------------------------------- /test/examples/simple/objections/simple.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/objections/simple.sv -------------------------------------------------------------------------------- /test/examples/simple/phases/basic/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/basic/Makefile -------------------------------------------------------------------------------- /test/examples/simple/phases/basic/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/basic/test.py -------------------------------------------------------------------------------- /test/examples/simple/phases/basic/test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/basic/test.sv -------------------------------------------------------------------------------- /test/examples/simple/phases/run_test/test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/run_test/test.sv -------------------------------------------------------------------------------- /test/examples/simple/phases/timeout/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/timeout/Makefile -------------------------------------------------------------------------------- /test/examples/simple/phases/timeout/tb_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/timeout/tb_env.py -------------------------------------------------------------------------------- /test/examples/simple/phases/timeout/tb_env.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/timeout/tb_env.svh -------------------------------------------------------------------------------- /test/examples/simple/phases/timeout/tb_timer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/timeout/tb_timer.py -------------------------------------------------------------------------------- /test/examples/simple/phases/timeout/tb_timer.svh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/timeout/tb_timer.svh -------------------------------------------------------------------------------- /test/examples/simple/phases/timeout/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/timeout/test.py -------------------------------------------------------------------------------- /test/examples/simple/phases/timeout/test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/phases/timeout/test.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/Makefile -------------------------------------------------------------------------------- /test/examples/simple/registers/common/__init__.py: -------------------------------------------------------------------------------- 1 | 2 | from .reg_agent import * 3 | -------------------------------------------------------------------------------- /test/examples/simple/registers/common/any_agent.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/any_agent.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/any_config.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/any_config.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/apb/apb_agent.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/apb/apb_agent.py -------------------------------------------------------------------------------- /test/examples/simple/registers/common/apb/apb_agent.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/apb/apb_agent.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/apb/apb_master.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/apb/apb_master.py -------------------------------------------------------------------------------- /test/examples/simple/registers/common/apb/apb_master.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/apb/apb_master.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/apb/apb_monitor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/apb/apb_monitor.py -------------------------------------------------------------------------------- /test/examples/simple/registers/common/apb/apb_monitor.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/apb/apb_monitor.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/reg_agent.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/reg_agent.py -------------------------------------------------------------------------------- /test/examples/simple/registers/common/reg_agent.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/reg_agent.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/wishbone/agent.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/wishbone/agent.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/wishbone/config.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/wishbone/config.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/wishbone/cycle.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/wishbone/cycle.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/wishbone/driver.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/wishbone/driver.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/wishbone/wb_if.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/wishbone/wb_if.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/common/wishbone/wishbone.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/common/wishbone/wishbone.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/10direct/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/10direct/Makefile -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/10direct/tb_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/10direct/tb_env.py -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/10direct/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/10direct/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/10direct/test_top.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/10direct/test_top.py -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/20layered/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/20layered/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/common/dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/common/dut.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/common/regmodel.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/common/regmodel.py -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/common/regmodel.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/common/regmodel.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/common/tb_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/common/tb_top.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/common/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/common/test.py -------------------------------------------------------------------------------- /test/examples/simple/registers/integration/common/test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/integration/common/test.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/aliasing/regmodel.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/aliasing/regmodel.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/aliasing/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/aliasing/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/aliasing/tb_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/aliasing/tb_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/broadcast/dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/broadcast/dut.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/broadcast/regmodel.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/broadcast/regmodel.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/broadcast/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/broadcast/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/broadcast/tb_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/broadcast/tb_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/broadcast/tb_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/broadcast/tb_top.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/coverage/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/coverage/Makefile -------------------------------------------------------------------------------- /test/examples/simple/registers/models/coverage/reg_mem_dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/coverage/reg_mem_dut.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/coverage/regmodel.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/coverage/regmodel.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/coverage/regmodel.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/coverage/regmodel.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/coverage/tb_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/coverage/tb_env.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/coverage/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/coverage/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/coverage/tb_run.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/coverage/tb_run.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/coverage/tb_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/coverage/tb_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/fifo_reg/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/fifo_reg/Makefile -------------------------------------------------------------------------------- /test/examples/simple/registers/models/fifo_reg/dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/fifo_reg/dut.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/fifo_reg/dut.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/fifo_reg/dut.vhd -------------------------------------------------------------------------------- /test/examples/simple/registers/models/fifo_reg/reg_model.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/fifo_reg/reg_model.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/fifo_reg/reg_model.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/fifo_reg/reg_model.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/fifo_reg/tb_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/fifo_reg/tb_env.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/fifo_reg/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/fifo_reg/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/fifo_reg/tb_run.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/fifo_reg/tb_run.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/fifo_reg/tb_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/fifo_reg/tb_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/reg_without_field/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/reg_without_field/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/reg_without_field/tb_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/reg_without_field/tb_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/ro_wo_same_addr/regmodel.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/ro_wo_same_addr/regmodel.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/ro_wo_same_addr/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/ro_wo_same_addr/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/ro_wo_same_addr/tb_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/ro_wo_same_addr/tb_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/shared_reg/blk_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/shared_reg/blk_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/shared_reg/blk_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/shared_reg/blk_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/shared_reg/blk_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/shared_reg/blk_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/shared_reg/blk_seqlib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/shared_reg/blk_seqlib.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/shared_reg/blk_testlib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/shared_reg/blk_testlib.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/shared_reg/reg_B.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/shared_reg/reg_B.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/shared_reg/reg_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/shared_reg/reg_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/user-defined/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/user-defined/Makefile -------------------------------------------------------------------------------- /test/examples/simple/registers/models/user-defined/dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/user-defined/dut.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/user-defined/regmodel.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/user-defined/regmodel.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/user-defined/regmodel.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/user-defined/regmodel.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/user-defined/tb_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/user-defined/tb_env.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/user-defined/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/user-defined/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/user-defined/tb_run.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/user-defined/tb_run.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/user-defined/tb_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/user-defined/tb_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/virtual_regs/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/virtual_regs/Makefile -------------------------------------------------------------------------------- /test/examples/simple/registers/models/virtual_regs/dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/virtual_regs/dut.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/models/virtual_regs/regmodel.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/virtual_regs/regmodel.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/virtual_regs/tb_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/virtual_regs/tb_env.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/virtual_regs/tb_run.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/models/virtual_regs/tb_run.py -------------------------------------------------------------------------------- /test/examples/simple/registers/models/virtual_regs/virtual_regs.rdl: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/Makefile -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/cmdline_test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/cmdline_test.py -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/cmdline_test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/cmdline_test.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/dut.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/mem_test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/mem_test.py -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/primer.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/primer.pdf -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/reg_model.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/reg_model.py -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/reg_model.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/reg_model.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/tb_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/tb_env.py -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/tb_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/tb_top.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/test.py -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/test.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/testlib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/testlib.py -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/testlib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/testlib.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/user_test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/user_test.py -------------------------------------------------------------------------------- /test/examples/simple/registers/primer/user_test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/primer/user_test.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/sequence_api/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/sequence_api/README.txt -------------------------------------------------------------------------------- /test/examples/simple/registers/sequence_api/blk_dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/sequence_api/blk_dut.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/sequence_api/blk_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/sequence_api/blk_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/sequence_api/blk_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/sequence_api/blk_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/sequence_api/blk_reg_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/sequence_api/blk_reg_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/sequence_api/blk_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/sequence_api/blk_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/sequence_api/blk_seqlib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/sequence_api/blk_seqlib.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/sequence_api/blk_testlib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/sequence_api/blk_testlib.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/sequence_api/blk_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/sequence_api/blk_top.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/sequence_api/reg_B.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/sequence_api/reg_B.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/Makefile -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_dut.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_dut.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_dut.vhd -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_env.py -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_reg_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_reg_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_run.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_run.py -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_seqlib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_seqlib.py -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_seqlib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_seqlib.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_testlib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_testlib.py -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_testlib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_testlib.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/blk_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/blk_top.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/reg_B.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/reg_B.py -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/reg_B.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/reg_B.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/reg_S.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/reg_S.py -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/reg_S.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/reg_S.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_dut.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_dut.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_dut.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_dut.vhd -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_env.py -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_env.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_reg_pkg.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_reg_pkg.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_run.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_run.py -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_run.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_seqlib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_seqlib.py -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_seqlib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_seqlib.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_testlib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_testlib.py -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_testlib.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_testlib.sv -------------------------------------------------------------------------------- /test/examples/simple/registers/vertical_reuse/sys_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/registers/vertical_reuse/sys_top.sv -------------------------------------------------------------------------------- /test/examples/simple/reporting/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/reporting/Makefile -------------------------------------------------------------------------------- /test/examples/simple/reporting/test_reporting.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/reporting/test_reporting.py -------------------------------------------------------------------------------- /test/examples/simple/sequence/basic_read_write_sequence/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/sequence/basic_read_write_sequence/Makefile -------------------------------------------------------------------------------- /test/examples/simple/sequence/basic_read_write_sequence/top.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/sequence/basic_read_write_sequence/top.py -------------------------------------------------------------------------------- /test/examples/simple/sequence/basic_read_write_sequence/top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/sequence/basic_read_write_sequence/top.sv -------------------------------------------------------------------------------- /test/examples/simple/sequence/forking/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/sequence/forking/Makefile -------------------------------------------------------------------------------- /test/examples/simple/sequence/forking/seq_fork_test_top.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/sequence/forking/seq_fork_test_top.py -------------------------------------------------------------------------------- /test/examples/simple/sequence/uvm_dos/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/sequence/uvm_dos/Makefile -------------------------------------------------------------------------------- /test/examples/simple/sequence/uvm_dos/top_uvm_dos.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/sequence/uvm_dos/top_uvm_dos.py -------------------------------------------------------------------------------- /test/examples/simple/tlm1/bidir/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/bidir/Makefile -------------------------------------------------------------------------------- /test/examples/simple/tlm1/bidir/bidir.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/bidir/bidir.py -------------------------------------------------------------------------------- /test/examples/simple/tlm1/bidir/bidir.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/bidir/bidir.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm1/fifo/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/fifo/Makefile -------------------------------------------------------------------------------- /test/examples/simple/tlm1/fifo/test.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/fifo/test.py -------------------------------------------------------------------------------- /test/examples/simple/tlm1/fifo/test.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/fifo/test.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm1/hierarchy/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/hierarchy/Makefile -------------------------------------------------------------------------------- /test/examples/simple/tlm1/hierarchy/hierarchy.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/hierarchy/hierarchy.py -------------------------------------------------------------------------------- /test/examples/simple/tlm1/hierarchy/hierarchy.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/hierarchy/hierarchy.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm1/hierarchy/module_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/hierarchy/module_top.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm1/master_slave/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/master_slave/Makefile -------------------------------------------------------------------------------- /test/examples/simple/tlm1/master_slave/master_slave_pkg.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/master_slave/master_slave_pkg.py -------------------------------------------------------------------------------- /test/examples/simple/tlm1/master_slave/test_master_slave.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/master_slave/test_master_slave.py -------------------------------------------------------------------------------- /test/examples/simple/tlm1/producer_consumer/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/producer_consumer/Makefile -------------------------------------------------------------------------------- /test/examples/simple/tlm1/producer_consumer/fifo.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/producer_consumer/fifo.py -------------------------------------------------------------------------------- /test/examples/simple/tlm1/producer_consumer/fifo.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/producer_consumer/fifo.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm1/producer_consumer/module_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/producer_consumer/module_top.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm1/producer_consumer/module_top.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm1/producer_consumer/module_top.vhd -------------------------------------------------------------------------------- /test/examples/simple/tlm2/blocking_simple/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/blocking_simple/Makefile -------------------------------------------------------------------------------- /test/examples/simple/tlm2/blocking_simple/apb_rw.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/blocking_simple/apb_rw.py -------------------------------------------------------------------------------- /test/examples/simple/tlm2/blocking_simple/initiator.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/blocking_simple/initiator.py -------------------------------------------------------------------------------- /test/examples/simple/tlm2/blocking_simple/target.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/blocking_simple/target.py -------------------------------------------------------------------------------- /test/examples/simple/tlm2/blocking_simple/tb_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/blocking_simple/tb_env.py -------------------------------------------------------------------------------- /test/examples/simple/tlm2/blocking_simple/tb_run.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/blocking_simple/tb_run.py -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/Makefile -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/README.txt -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/device.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/device.py -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/device.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/device.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/host.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/host.py -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/host.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/host.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/tb_env.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/tb_env.py -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/tb_run.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/tb_run.py -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/tb_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/tb_run.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/usb_xfer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/usb_xfer.py -------------------------------------------------------------------------------- /test/examples/simple/tlm2/nonblocking_simple/usb_xfer.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/nonblocking_simple/usb_xfer.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm2/temporal_decoupling/apb_rw.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/temporal_decoupling/apb_rw.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm2/temporal_decoupling/initiator.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/temporal_decoupling/initiator.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm2/temporal_decoupling/target.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/temporal_decoupling/target.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm2/temporal_decoupling/tb_env.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/temporal_decoupling/tb_env.sv -------------------------------------------------------------------------------- /test/examples/simple/tlm2/temporal_decoupling/tb_run.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/tlm2/temporal_decoupling/tb_run.sv -------------------------------------------------------------------------------- /test/examples/simple/trivial/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/trivial/Makefile -------------------------------------------------------------------------------- /test/examples/simple/trivial/component.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/simple/trivial/component.py -------------------------------------------------------------------------------- /test/examples/sv/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/sv/Makefile -------------------------------------------------------------------------------- /test/examples/sv/test_forks.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/examples/sv/test_forks.py -------------------------------------------------------------------------------- /test/hdl/uvm_test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/hdl/uvm_test.v -------------------------------------------------------------------------------- /test/sim_integration/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/sim_integration/Makefile -------------------------------------------------------------------------------- /test/sim_integration/module_top.sv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/sim_integration/module_top.sv -------------------------------------------------------------------------------- /test/sim_integration/test_uvm_events.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/sim_integration/test_uvm_events.py -------------------------------------------------------------------------------- /test/sim_integration/uvm_testlib.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/sim_integration/uvm_testlib.py -------------------------------------------------------------------------------- /test/test_uvm.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/test_uvm.py -------------------------------------------------------------------------------- /test/test_uvm_tlm.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/test/test_uvm_tlm.py -------------------------------------------------------------------------------- /unit/test_sv.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_sv.py -------------------------------------------------------------------------------- /unit/test_uvm_analysis_port.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_analysis_port.py -------------------------------------------------------------------------------- /unit/test_uvm_callback.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_callback.py -------------------------------------------------------------------------------- /unit/test_uvm_cmdline_processor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_cmdline_processor.py -------------------------------------------------------------------------------- /unit/test_uvm_component.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_component.py -------------------------------------------------------------------------------- /unit/test_uvm_config_db.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_config_db.py -------------------------------------------------------------------------------- /unit/test_uvm_coreservice.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_coreservice.py -------------------------------------------------------------------------------- /unit/test_uvm_domain.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_domain.py -------------------------------------------------------------------------------- /unit/test_uvm_factory.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_factory.py -------------------------------------------------------------------------------- /unit/test_uvm_globals.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_globals.py -------------------------------------------------------------------------------- /unit/test_uvm_hdl.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_hdl.py -------------------------------------------------------------------------------- /unit/test_uvm_mailbox.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_mailbox.py -------------------------------------------------------------------------------- /unit/test_uvm_misc.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_misc.py -------------------------------------------------------------------------------- /unit/test_uvm_object.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_object.py -------------------------------------------------------------------------------- /unit/test_uvm_object_defines.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_object_defines.py -------------------------------------------------------------------------------- /unit/test_uvm_objection.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_objection.py -------------------------------------------------------------------------------- /unit/test_uvm_packer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_packer.py -------------------------------------------------------------------------------- /unit/test_uvm_phase.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_phase.py -------------------------------------------------------------------------------- /unit/test_uvm_pool.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_pool.py -------------------------------------------------------------------------------- /unit/test_uvm_port_base.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_port_base.py -------------------------------------------------------------------------------- /unit/test_uvm_ports.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_ports.py -------------------------------------------------------------------------------- /unit/test_uvm_printer.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_printer.py -------------------------------------------------------------------------------- /unit/test_uvm_queue.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_queue.py -------------------------------------------------------------------------------- /unit/test_uvm_reg.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_reg.py -------------------------------------------------------------------------------- /unit/test_uvm_reg_block.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_reg_block.py -------------------------------------------------------------------------------- /unit/test_uvm_reg_field.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_reg_field.py -------------------------------------------------------------------------------- /unit/test_uvm_reg_map.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_reg_map.py -------------------------------------------------------------------------------- /unit/test_uvm_reg_model.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_reg_model.py -------------------------------------------------------------------------------- /unit/test_uvm_reg_predictor.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_reg_predictor.py -------------------------------------------------------------------------------- /unit/test_uvm_registry.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_registry.py -------------------------------------------------------------------------------- /unit/test_uvm_report_catcher.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_report_catcher.py -------------------------------------------------------------------------------- /unit/test_uvm_report_handler.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_report_handler.py -------------------------------------------------------------------------------- /unit/test_uvm_report_message.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_report_message.py -------------------------------------------------------------------------------- /unit/test_uvm_report_object.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_report_object.py -------------------------------------------------------------------------------- /unit/test_uvm_report_server.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_report_server.py -------------------------------------------------------------------------------- /unit/test_uvm_resource.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_resource.py -------------------------------------------------------------------------------- /unit/test_uvm_resource_db.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_resource_db.py -------------------------------------------------------------------------------- /unit/test_uvm_root.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_root.py -------------------------------------------------------------------------------- /unit/test_uvm_scope_stack.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_scope_stack.py -------------------------------------------------------------------------------- /unit/test_uvm_sequencer_base.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_sequencer_base.py -------------------------------------------------------------------------------- /unit/test_uvm_spell_chkr.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_spell_chkr.py -------------------------------------------------------------------------------- /unit/test_uvm_sqr_connections.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_sqr_connections.py -------------------------------------------------------------------------------- /unit/test_uvm_tlm_fifos.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_tlm_fifos.py -------------------------------------------------------------------------------- /unit/test_uvm_tlm_imps.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_tlm_imps.py -------------------------------------------------------------------------------- /unit/test_uvm_topdown_phase.py: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/tpoikela/uvm-python/HEAD/unit/test_uvm_topdown_phase.py --------------------------------------------------------------------------------