├── BlockDiagram.png ├── Convert Image to 1D Array of Pixel Values (#Your Number_prenormalized).ipynb ├── File1.ipynb ├── File2.ipynb ├── README.md ├── ReLu.sv ├── Synthesis.log ├── adder.sv ├── b1.mem ├── b2.mem ├── counter.sv ├── data_in.mem ├── hidden_layer.sv ├── input_layer.sv ├── multiplier.sv ├── neural_network.sv ├── neuron_hiddenlayer.sv ├── neuron_inputlayer.sv ├── register.sv ├── tb_neuralnetwork.sv ├── w1_1.mem ├── w1_10.mem ├── w1_2.mem ├── w1_3.mem ├── w1_4.mem ├── w1_5.mem ├── w1_6.mem ├── w1_7.mem ├── w1_8.mem ├── w1_9.mem ├── w2_1.mem ├── w2_10.mem ├── w2_2.mem ├── w2_3.mem ├── w2_4.mem ├── w2_5.mem ├── w2_6.mem ├── w2_7.mem ├── w2_8.mem └── w2_9.mem /BlockDiagram.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/visnjicm/verilog-neural-network/d59ac119ad8965ac2f4f250e4ea0f4594b6df5e0/BlockDiagram.png -------------------------------------------------------------------------------- /Convert Image to 1D Array of Pixel Values (#Your Number_prenormalized).ipynb: -------------------------------------------------------------------------------- 1 | { 2 | "cells": [ 3 | { 4 | "cell_type": "code", 5 | "execution_count": 33, 6 | "id": "118a305b", 7 | "metadata": {}, 8 | "outputs": [], 9 | "source": [ 10 | "import cv2\n", 11 | "import numpy as np" 12 | ] 13 | }, 14 | { 15 | "cell_type": "code", 16 | "execution_count": 34, 17 | "id": "1073088b", 18 | "metadata": {}, 19 | "outputs": [], 20 | "source": [ 21 | "img = cv2.imread('C:\\\\Users\\\\Marko Visnjic\\\\steps\\\\4_marko.png',0)" 22 | ] 23 | }, 24 | { 25 | "cell_type": "code", 26 | "execution_count": 35, 27 | "id": "0a56e39e", 28 | "metadata": {}, 29 | "outputs": [], 30 | "source": [ 31 | "img = img.flatten();" 32 | ] 33 | }, 34 | { 35 | "cell_type": "code", 36 | "execution_count": 36, 37 | "id": "47734217", 38 | "metadata": {}, 39 | "outputs": [], 40 | "source": [ 41 | "p = img.shape" 42 | ] 43 | }, 44 | { 45 | "cell_type": "code", 46 | "execution_count": 37, 47 | "id": "58c8f876", 48 | "metadata": {}, 49 | "outputs": [ 50 | { 51 | "name": "stdout", 52 | "output_type": "stream", 53 | "text": [ 54 | "(784,)\n" 55 | ] 56 | } 57 | ], 58 | "source": [ 59 | "print(p)" 60 | ] 61 | }, 62 | { 63 | "cell_type": "code", 64 | "execution_count": 38, 65 | "id": "79813dd3", 66 | "metadata": {}, 67 | "outputs": [], 68 | "source": [ 69 | "np.savetxt(\"C:\\\\Users\\\\Marko Visnjic\\\\steps\\\\4_prenormalized.csv\", img, delimiter=\",\", fmt=\"%s\")" 70 | ] 71 | }, 72 | { 73 | "cell_type": "code", 74 | "execution_count": null, 75 | "id": "9787b9a4", 76 | "metadata": {}, 77 | "outputs": [], 78 | "source": [] 79 | } 80 | ], 81 | "metadata": { 82 | "kernelspec": { 83 | "display_name": "Python 3 (ipykernel)", 84 | "language": "python", 85 | "name": "python3" 86 | }, 87 | "language_info": { 88 | "codemirror_mode": { 89 | "name": "ipython", 90 | "version": 3 91 | }, 92 | "file_extension": ".py", 93 | "mimetype": "text/x-python", 94 | "name": "python", 95 | "nbconvert_exporter": "python", 96 | "pygments_lexer": "ipython3", 97 | "version": "3.11.2" 98 | } 99 | }, 100 | "nbformat": 4, 101 | "nbformat_minor": 5 102 | } 103 | -------------------------------------------------------------------------------- /File2.ipynb: -------------------------------------------------------------------------------- 1 | { 2 | "cells": [ 3 | { 4 | "cell_type": "markdown", 5 | "id": "644ab626", 6 | "metadata": {}, 7 | "source": [ 8 | "Normalize 0 to 255 input pixel map to value in between 0 and 1, so that we convert integer representation to float representation." 9 | ] 10 | }, 11 | { 12 | "cell_type": "code", 13 | "execution_count": 8, 14 | "id": "5bcbfd7b", 15 | "metadata": {}, 16 | "outputs": [ 17 | { 18 | "name": "stdout", 19 | "output_type": "stream", 20 | "text": [ 21 | "0.0\n", 22 | "0.0\n", 23 | "0.0\n", 24 | "0.0\n", 25 | "0.0\n", 26 | "0.0\n", 27 | "0.0\n", 28 | "0.0\n", 29 | "0.0\n", 30 | "0.0\n", 31 | "0.0\n", 32 | "0.0\n", 33 | "0.0\n", 34 | "0.0\n", 35 | "0.0\n", 36 | "0.0\n", 37 | "0.0\n", 38 | "0.0\n", 39 | "0.0\n", 40 | "0.0\n", 41 | "0.0\n", 42 | "0.0\n", 43 | "0.0\n", 44 | "0.0\n", 45 | "0.0\n", 46 | "0.0\n", 47 | "0.0\n", 48 | "0.0\n", 49 | "0.0\n", 50 | "0.0\n", 51 | "0.0\n", 52 | "0.0\n", 53 | "0.0\n", 54 | "0.0\n", 55 | "0.0\n", 56 | "0.0\n", 57 | "0.0\n", 58 | "0.0\n", 59 | "0.0\n", 60 | "0.0\n", 61 | "0.0\n", 62 | "0.0\n", 63 | "0.0\n", 64 | "0.0\n", 65 | "0.0\n", 66 | "0.0\n", 67 | "0.0\n", 68 | "0.0\n", 69 | "0.0\n", 70 | "0.0\n", 71 | "0.0\n", 72 | "0.0\n", 73 | "0.0\n", 74 | "0.0\n", 75 | "0.0\n", 76 | "0.0\n", 77 | "0.0\n", 78 | "0.0\n", 79 | "0.0\n", 80 | "0.0\n", 81 | "0.0\n", 82 | "0.0\n", 83 | "0.0\n", 84 | "0.0\n", 85 | "0.0\n", 86 | "0.0\n", 87 | "0.0\n", 88 | "0.0\n", 89 | "0.0\n", 90 | "0.0\n", 91 | "0.0\n", 92 | "0.0\n", 93 | "0.0\n", 94 | "0.0\n", 95 | "0.0\n", 96 | "0.0\n", 97 | "0.0\n", 98 | "0.0\n", 99 | "0.0\n", 100 | "0.0\n", 101 | "0.0\n", 102 | "0.0\n", 103 | "0.0\n", 104 | "0.0\n", 105 | "0.0\n", 106 | "0.0\n", 107 | "0.0\n", 108 | "0.0\n", 109 | "0.0\n", 110 | "0.0\n", 111 | "0.0\n", 112 | "0.0\n", 113 | "0.0\n", 114 | "0.3764705882352941\n", 115 | "0.7490196078431373\n", 116 | "0.3764705882352941\n", 117 | "0.0\n", 118 | "0.0\n", 119 | "0.0\n", 120 | "0.0\n", 121 | "0.0\n", 122 | "0.0\n", 123 | "0.0\n", 124 | "0.0\n", 125 | "0.0\n", 126 | "0.0\n", 127 | "0.0\n", 128 | "0.0\n", 129 | "0.0\n", 130 | "0.0\n", 131 | "0.0\n", 132 | "0.0\n", 133 | "0.0\n", 134 | "0.0\n", 135 | "0.0\n", 136 | "0.0\n", 137 | "0.0\n", 138 | "0.0\n", 139 | "0.0\n", 140 | "0.0\n", 141 | "0.40784313725490196\n", 142 | "1.0\n", 143 | "1.0\n", 144 | "0.9686274509803922\n", 145 | "0.03137254901960784\n", 146 | "0.0\n", 147 | "0.0\n", 148 | "0.0\n", 149 | "0.2823529411764706\n", 150 | "0.7490196078431373\n", 151 | "0.3764705882352941\n", 152 | "0.0\n", 153 | "0.0\n", 154 | "0.0\n", 155 | "0.0\n", 156 | "0.0\n", 157 | "0.0\n", 158 | "0.0\n", 159 | "0.0\n", 160 | "0.0\n", 161 | "0.0\n", 162 | "0.0\n", 163 | "0.0\n", 164 | "0.0\n", 165 | "0.0\n", 166 | "0.0\n", 167 | "0.0\n", 168 | "0.0\n", 169 | "0.9058823529411765\n", 170 | "1.0\n", 171 | "1.0\n", 172 | "0.6549019607843137\n", 173 | "0.0\n", 174 | "0.0\n", 175 | "0.0\n", 176 | "0.0\n", 177 | "0.9058823529411765\n", 178 | "1.0\n", 179 | "0.9686274509803922\n", 180 | "0.0\n", 181 | "0.0\n", 182 | "0.0\n", 183 | "0.0\n", 184 | "0.0\n", 185 | "0.0\n", 186 | "0.0\n", 187 | "0.0\n", 188 | "0.0\n", 189 | "0.0\n", 190 | "0.0\n", 191 | "0.0\n", 192 | "0.0\n", 193 | "0.0\n", 194 | "0.0\n", 195 | "0.0\n", 196 | "0.0\n", 197 | "1.0\n", 198 | "1.0\n", 199 | "1.0\n", 200 | "0.03137254901960784\n", 201 | "0.0\n", 202 | "0.0\n", 203 | "0.0\n", 204 | "0.0\n", 205 | "1.0\n", 206 | "1.0\n", 207 | "1.0\n", 208 | "0.0\n", 209 | "0.0\n", 210 | "0.0\n", 211 | "0.0\n", 212 | "0.0\n", 213 | "0.0\n", 214 | "0.0\n", 215 | "0.0\n", 216 | "0.0\n", 217 | "0.0\n", 218 | "0.0\n", 219 | "0.0\n", 220 | "0.0\n", 221 | "0.0\n", 222 | "0.0\n", 223 | "0.0\n", 224 | "0.0\n", 225 | "1.0\n", 226 | "1.0\n", 227 | "1.0\n", 228 | "0.0\n", 229 | "0.0\n", 230 | "0.0\n", 231 | "0.0\n", 232 | "0.0\n", 233 | "1.0\n", 234 | "1.0\n", 235 | "1.0\n", 236 | "0.3764705882352941\n", 237 | "0.0\n", 238 | "0.0\n", 239 | "0.0\n", 240 | "0.0\n", 241 | "0.0\n", 242 | "0.0\n", 243 | "0.0\n", 244 | "0.0\n", 245 | "0.0\n", 246 | "0.0\n", 247 | "0.0\n", 248 | "0.0\n", 249 | "0.0\n", 250 | "0.0\n", 251 | "0.0\n", 252 | "0.2823529411764706\n", 253 | "1.0\n", 254 | "1.0\n", 255 | "1.0\n", 256 | "0.0\n", 257 | "0.0\n", 258 | "0.0\n", 259 | "0.0\n", 260 | "0.0\n", 261 | "1.0\n", 262 | "1.0\n", 263 | "1.0\n", 264 | "0.9686274509803922\n", 265 | "0.0\n", 266 | "0.0\n", 267 | "0.0\n", 268 | "0.0\n", 269 | "0.0\n", 270 | "0.0\n", 271 | "0.0\n", 272 | "0.0\n", 273 | "0.0\n", 274 | "0.0\n", 275 | "0.0\n", 276 | "0.0\n", 277 | "0.0\n", 278 | "0.0\n", 279 | "0.0\n", 280 | "0.9058823529411765\n", 281 | "1.0\n", 282 | "1.0\n", 283 | "1.0\n", 284 | "1.0\n", 285 | "1.0\n", 286 | "1.0\n", 287 | "1.0\n", 288 | "1.0\n", 289 | "1.0\n", 290 | "1.0\n", 291 | "1.0\n", 292 | "1.0\n", 293 | "0.0\n", 294 | "0.0\n", 295 | "0.0\n", 296 | "0.0\n", 297 | "0.0\n", 298 | "0.0\n", 299 | "0.0\n", 300 | "0.0\n", 301 | "0.0\n", 302 | "0.0\n", 303 | "0.0\n", 304 | "0.0\n", 305 | "0.0\n", 306 | "0.0\n", 307 | "0.0\n", 308 | "1.0\n", 309 | "1.0\n", 310 | "1.0\n", 311 | "1.0\n", 312 | "1.0\n", 313 | "1.0\n", 314 | "1.0\n", 315 | "1.0\n", 316 | "1.0\n", 317 | "1.0\n", 318 | "1.0\n", 319 | "1.0\n", 320 | "1.0\n", 321 | "0.0\n", 322 | "0.0\n", 323 | "0.0\n", 324 | "0.0\n", 325 | "0.0\n", 326 | "0.0\n", 327 | "0.0\n", 328 | "0.0\n", 329 | "0.0\n", 330 | "0.0\n", 331 | "0.0\n", 332 | "0.0\n", 333 | "0.0\n", 334 | "0.0\n", 335 | "0.0\n", 336 | "0.9686274509803922\n", 337 | "1.0\n", 338 | "1.0\n", 339 | "1.0\n", 340 | "1.0\n", 341 | "1.0\n", 342 | "1.0\n", 343 | "1.0\n", 344 | "1.0\n", 345 | "1.0\n", 346 | "1.0\n", 347 | "1.0\n", 348 | "0.592156862745098\n", 349 | "0.0\n", 350 | "0.0\n", 351 | "0.0\n", 352 | "0.0\n", 353 | "0.0\n", 354 | "0.0\n", 355 | "0.0\n", 356 | "0.0\n", 357 | "0.0\n", 358 | "0.0\n", 359 | "0.0\n", 360 | "0.0\n", 361 | "0.0\n", 362 | "0.0\n", 363 | "0.0\n", 364 | "0.47058823529411764\n", 365 | "1.0\n", 366 | "1.0\n", 367 | "0.592156862745098\n", 368 | "0.0\n", 369 | "0.0\n", 370 | "0.0\n", 371 | "0.0\n", 372 | "1.0\n", 373 | "1.0\n", 374 | "1.0\n", 375 | "0.592156862745098\n", 376 | "0.0\n", 377 | "0.0\n", 378 | "0.0\n", 379 | "0.0\n", 380 | "0.0\n", 381 | "0.0\n", 382 | "0.0\n", 383 | "0.0\n", 384 | "0.0\n", 385 | "0.0\n", 386 | "0.0\n", 387 | "0.0\n", 388 | "0.0\n", 389 | "0.0\n", 390 | "0.0\n", 391 | "0.0\n", 392 | "0.0\n", 393 | "0.0\n", 394 | "0.0\n", 395 | "0.0\n", 396 | "0.0\n", 397 | "0.0\n", 398 | "0.0\n", 399 | "0.0\n", 400 | "1.0\n", 401 | "1.0\n", 402 | "1.0\n", 403 | "0.0\n", 404 | "0.0\n", 405 | "0.0\n", 406 | "0.0\n", 407 | "0.0\n", 408 | "0.0\n", 409 | "0.0\n", 410 | "0.0\n", 411 | "0.0\n", 412 | "0.0\n", 413 | "0.0\n", 414 | "0.0\n", 415 | "0.0\n", 416 | "0.0\n", 417 | "0.0\n", 418 | "0.0\n", 419 | "0.0\n", 420 | "0.0\n", 421 | "0.0\n", 422 | "0.0\n", 423 | "0.0\n", 424 | "0.0\n", 425 | "0.0\n", 426 | "0.0\n", 427 | "0.0\n", 428 | "1.0\n", 429 | "1.0\n", 430 | "1.0\n", 431 | "0.0\n", 432 | "0.0\n", 433 | "0.0\n", 434 | "0.0\n", 435 | "0.0\n", 436 | "0.0\n", 437 | "0.0\n", 438 | "0.0\n", 439 | "0.0\n", 440 | "0.0\n", 441 | "0.0\n", 442 | "0.0\n", 443 | "0.0\n", 444 | "0.0\n", 445 | "0.0\n", 446 | "0.0\n", 447 | "0.0\n", 448 | "0.0\n", 449 | "0.0\n", 450 | "0.0\n", 451 | "0.0\n", 452 | "0.0\n", 453 | "0.0\n", 454 | "0.0\n", 455 | "0.0\n", 456 | "1.0\n", 457 | "1.0\n", 458 | "1.0\n", 459 | "0.0\n", 460 | "0.0\n", 461 | "0.0\n", 462 | "0.0\n", 463 | "0.0\n", 464 | "0.0\n", 465 | "0.0\n", 466 | "0.0\n", 467 | "0.0\n", 468 | "0.0\n", 469 | "0.0\n", 470 | "0.0\n", 471 | "0.0\n", 472 | "0.0\n", 473 | "0.0\n", 474 | "0.0\n", 475 | "0.0\n", 476 | "0.0\n", 477 | "0.0\n", 478 | "0.0\n", 479 | "0.0\n", 480 | "0.0\n", 481 | "0.0\n", 482 | "0.0\n", 483 | "0.0\n", 484 | "1.0\n", 485 | "1.0\n", 486 | "1.0\n", 487 | "0.0\n", 488 | "0.0\n", 489 | "0.0\n", 490 | "0.0\n", 491 | "0.0\n", 492 | "0.0\n", 493 | "0.0\n", 494 | "0.0\n", 495 | "0.0\n", 496 | "0.0\n", 497 | "0.0\n", 498 | "0.0\n", 499 | "0.0\n", 500 | "0.0\n", 501 | "0.0\n", 502 | "0.0\n", 503 | "0.0\n", 504 | "0.0\n", 505 | "0.0\n", 506 | "0.0\n", 507 | "0.0\n", 508 | "0.0\n", 509 | "0.0\n", 510 | "0.0\n", 511 | "0.0\n", 512 | "1.0\n", 513 | "1.0\n", 514 | "1.0\n", 515 | "0.0\n", 516 | "0.0\n", 517 | "0.0\n", 518 | "0.0\n", 519 | "0.0\n", 520 | "0.0\n", 521 | "0.0\n", 522 | "0.0\n", 523 | "0.0\n", 524 | "0.0\n", 525 | "0.0\n", 526 | "0.0\n", 527 | "0.0\n", 528 | "0.0\n", 529 | "0.0\n", 530 | "0.0\n", 531 | "0.0\n", 532 | "0.0\n", 533 | "0.0\n", 534 | "0.0\n", 535 | "0.0\n", 536 | "0.0\n", 537 | "0.0\n", 538 | "0.0\n", 539 | "0.0\n", 540 | "1.0\n", 541 | "1.0\n", 542 | "1.0\n", 543 | "0.0\n", 544 | "0.0\n", 545 | "0.0\n", 546 | "0.0\n", 547 | "0.0\n", 548 | "0.0\n", 549 | "0.0\n", 550 | "0.0\n", 551 | "0.0\n", 552 | "0.0\n", 553 | "0.0\n", 554 | "0.0\n", 555 | "0.0\n", 556 | "0.0\n", 557 | "0.0\n", 558 | "0.0\n", 559 | "0.0\n", 560 | "0.0\n", 561 | "0.0\n", 562 | "0.0\n", 563 | "0.0\n", 564 | "0.0\n", 565 | "0.0\n", 566 | "0.0\n", 567 | "0.0\n", 568 | "0.9686274509803922\n", 569 | "1.0\n", 570 | "1.0\n", 571 | "0.0\n", 572 | "0.0\n", 573 | "0.0\n", 574 | "0.0\n", 575 | "0.0\n", 576 | "0.0\n", 577 | "0.0\n", 578 | "0.0\n", 579 | "0.0\n", 580 | "0.0\n", 581 | "0.0\n", 582 | "0.0\n", 583 | "0.0\n", 584 | "0.0\n", 585 | "0.0\n", 586 | "0.0\n", 587 | "0.0\n", 588 | "0.0\n", 589 | "0.0\n", 590 | "0.0\n", 591 | "0.0\n", 592 | "0.0\n", 593 | "0.0\n", 594 | "0.0\n", 595 | "0.0\n", 596 | "0.47058823529411764\n", 597 | "1.0\n", 598 | "0.592156862745098\n", 599 | "0.0\n", 600 | "0.0\n", 601 | "0.0\n", 602 | "0.0\n", 603 | "0.0\n", 604 | "0.0\n", 605 | "0.0\n", 606 | "0.0\n", 607 | "0.0\n", 608 | "0.0\n", 609 | "0.0\n", 610 | "0.0\n", 611 | "0.0\n", 612 | "0.0\n", 613 | "0.0\n", 614 | "0.0\n", 615 | "0.0\n", 616 | "0.0\n", 617 | "0.0\n", 618 | "0.0\n", 619 | "0.0\n", 620 | "0.0\n", 621 | "0.0\n", 622 | "0.0\n", 623 | "0.0\n", 624 | "0.0\n", 625 | "0.0\n", 626 | "0.0\n", 627 | "0.0\n", 628 | "0.0\n", 629 | "0.0\n", 630 | "0.0\n", 631 | "0.0\n", 632 | "0.0\n", 633 | "0.0\n", 634 | "0.0\n", 635 | "0.0\n", 636 | "0.0\n", 637 | "0.0\n", 638 | "0.0\n", 639 | "0.0\n", 640 | "0.0\n", 641 | "0.0\n", 642 | "0.0\n", 643 | "0.0\n", 644 | "0.0\n", 645 | "0.0\n", 646 | "0.0\n", 647 | "0.0\n", 648 | "0.0\n", 649 | "0.0\n", 650 | "0.0\n", 651 | "0.0\n", 652 | "0.0\n", 653 | "0.0\n", 654 | "0.0\n", 655 | "0.0\n", 656 | "0.0\n", 657 | "0.0\n", 658 | "0.0\n", 659 | "0.0\n", 660 | "0.0\n", 661 | "0.0\n", 662 | "0.0\n", 663 | "0.0\n", 664 | "0.0\n", 665 | "0.0\n", 666 | "0.0\n", 667 | "0.0\n", 668 | "0.0\n", 669 | "0.0\n", 670 | "0.0\n", 671 | "0.0\n", 672 | "0.0\n", 673 | "0.0\n", 674 | "0.0\n", 675 | "0.0\n", 676 | "0.0\n", 677 | "0.0\n", 678 | "0.0\n", 679 | "0.0\n", 680 | "0.0\n", 681 | "0.0\n", 682 | "0.0\n", 683 | "0.0\n", 684 | "0.0\n", 685 | "0.0\n", 686 | "0.0\n", 687 | "0.0\n", 688 | "0.0\n", 689 | "0.0\n", 690 | "0.0\n", 691 | "0.0\n", 692 | "0.0\n", 693 | "0.0\n", 694 | "0.0\n", 695 | "0.0\n", 696 | "0.0\n", 697 | "0.0\n", 698 | "0.0\n", 699 | "0.0\n", 700 | "0.0\n", 701 | "0.0\n", 702 | "0.0\n", 703 | "0.0\n", 704 | "0.0\n", 705 | "0.0\n", 706 | "0.0\n", 707 | "0.0\n", 708 | "0.0\n", 709 | "0.0\n", 710 | "0.0\n", 711 | "0.0\n", 712 | "0.0\n", 713 | "0.0\n", 714 | "0.0\n", 715 | "0.0\n", 716 | "0.0\n", 717 | "0.0\n", 718 | "0.0\n", 719 | "0.0\n", 720 | "0.0\n", 721 | "0.0\n", 722 | "0.0\n", 723 | "0.0\n", 724 | "0.0\n", 725 | "0.0\n", 726 | "0.0\n", 727 | "0.0\n", 728 | "0.0\n", 729 | "0.0\n", 730 | "0.0\n", 731 | "0.0\n", 732 | "0.0\n", 733 | "0.0\n", 734 | "0.0\n", 735 | "0.0\n", 736 | "0.0\n", 737 | "0.0\n", 738 | "0.0\n", 739 | "0.0\n", 740 | "0.0\n", 741 | "0.0\n", 742 | "0.0\n", 743 | "0.0\n", 744 | "0.0\n", 745 | "0.0\n", 746 | "0.0\n", 747 | "0.0\n", 748 | "0.0\n", 749 | "0.0\n", 750 | "0.0\n", 751 | "0.0\n", 752 | "0.0\n", 753 | "0.0\n", 754 | "0.0\n", 755 | "0.0\n", 756 | "0.0\n", 757 | "0.0\n", 758 | "0.0\n", 759 | "0.0\n", 760 | "0.0\n", 761 | "0.0\n", 762 | "0.0\n", 763 | "0.0\n", 764 | "0.0\n", 765 | "0.0\n", 766 | "0.0\n", 767 | "0.0\n", 768 | "0.0\n", 769 | "0.0\n", 770 | "0.0\n", 771 | "0.0\n", 772 | "0.0\n", 773 | "0.0\n", 774 | "0.0\n", 775 | "0.0\n", 776 | "0.0\n", 777 | "0.0\n", 778 | "0.0\n", 779 | "0.0\n", 780 | "0.0\n", 781 | "0.0\n", 782 | "0.0\n", 783 | "0.0\n", 784 | "0.0\n", 785 | "0.0\n", 786 | "0.0\n", 787 | "0.0\n", 788 | "0.0\n", 789 | "0.0\n", 790 | "0.0\n", 791 | "0.0\n", 792 | "0.0\n", 793 | "0.0\n", 794 | "0.0\n", 795 | "0.0\n", 796 | "0.0\n", 797 | "0.0\n", 798 | "0.0\n", 799 | "0.0\n", 800 | "0.0\n", 801 | "0.0\n", 802 | "0.0\n", 803 | "0.0\n", 804 | "0.0\n" 805 | ] 806 | } 807 | ], 808 | "source": [ 809 | "import csv\n", 810 | "import numpy as np\n", 811 | "\n", 812 | "with open('C:\\\\Users\\\\Marko Visnjic\\\\steps\\\\4_prenormalized.csv', newline='') as csvfile:\n", 813 | " data = list(csv.reader(csvfile))\n", 814 | " \n", 815 | "pixelmap_values_infloat = []\n", 816 | " \n", 817 | "for _ in data:\n", 818 | " for i in _:\n", 819 | " #temp = i.split('+')\n", 820 | " #temp[0] = temp[0].replace('e', '')\n", 821 | " #temp[0] = float(temp[0])\n", 822 | " #temp[1] = float(temp[1])\n", 823 | " \n", 824 | " value = int(i);\n", 825 | " \n", 826 | " \n", 827 | " #perform linear mapping to convert 0 to 255 range to usable 0 to 1 float range for NN\n", 828 | " x = value\n", 829 | " y = x / 255.0\n", 830 | " \n", 831 | " print(y)\n", 832 | " pixelmap_values_infloat.append(y)\n" 833 | ] 834 | }, 835 | { 836 | "cell_type": "code", 837 | "execution_count": 9, 838 | "id": "5e893b4a", 839 | "metadata": {}, 840 | "outputs": [], 841 | "source": [ 842 | "np.savetxt(\"C:\\\\Users\\\\Marko Visnjic\\\\steps\\\\4.csv\", pixelmap_values_infloat, delimiter=\",\")" 843 | ] 844 | }, 845 | { 846 | "cell_type": "markdown", 847 | "id": "47a3b5a1", 848 | "metadata": {}, 849 | "source": [ 850 | "# Everything below this is test code." 851 | ] 852 | }, 853 | { 854 | "cell_type": "code", 855 | "execution_count": 2, 856 | "id": "360e866f", 857 | "metadata": {}, 858 | "outputs": [ 859 | { 860 | "data": { 861 | "text/plain": [ 862 | "'00ff'" 863 | ] 864 | }, 865 | "execution_count": 2, 866 | "metadata": {}, 867 | "output_type": "execute_result" 868 | } 869 | ], 870 | "source": [ 871 | "'00' + hex(255).replace('0x','')" 872 | ] 873 | }, 874 | { 875 | "cell_type": "code", 876 | "execution_count": 5, 877 | "id": "a9d8bd49", 878 | "metadata": {}, 879 | "outputs": [ 880 | { 881 | "data": { 882 | "text/plain": [ 883 | "[0.0,\n", 884 | " 0.0,\n", 885 | " 0.0,\n", 886 | " 0.0,\n", 887 | " 0.0,\n", 888 | " 0.0,\n", 889 | " 0.0,\n", 890 | " 0.0,\n", 891 | " 0.0,\n", 892 | " 0.0,\n", 893 | " 0.0,\n", 894 | " 0.0,\n", 895 | " 0.0,\n", 896 | " 0.0,\n", 897 | " 0.0,\n", 898 | " 0.0,\n", 899 | " 0.0,\n", 900 | " 0.0,\n", 901 | " 0.0,\n", 902 | " 0.0,\n", 903 | " 0.0,\n", 904 | " 0.0,\n", 905 | " 0.0,\n", 906 | " 0.0,\n", 907 | " 0.0,\n", 908 | " 0.0,\n", 909 | " 0.0,\n", 910 | " 0.0,\n", 911 | " 0.0,\n", 912 | " 0.0,\n", 913 | " 0.0,\n", 914 | " 0.0,\n", 915 | " 0.0,\n", 916 | " 0.0,\n", 917 | " 0.0,\n", 918 | " 0.0,\n", 919 | " 0.0,\n", 920 | " 0.0,\n", 921 | " 0.0,\n", 922 | " 0.0,\n", 923 | " 0.0,\n", 924 | " 0.0,\n", 925 | " 0.0,\n", 926 | " 0.0,\n", 927 | " 0.0,\n", 928 | " 0.0,\n", 929 | " 0.0,\n", 930 | " 0.0,\n", 931 | " 0.0,\n", 932 | " 0.0,\n", 933 | " 0.0,\n", 934 | " 0.0,\n", 935 | " 0.0,\n", 936 | " 0.0,\n", 937 | " 0.0,\n", 938 | " 0.0,\n", 939 | " 0.0,\n", 940 | " 0.0,\n", 941 | " 0.0,\n", 942 | " 0.0,\n", 943 | " 0.0,\n", 944 | " 0.0,\n", 945 | " 0.0,\n", 946 | " 0.0,\n", 947 | " 0.0,\n", 948 | " 0.0,\n", 949 | " 0.0,\n", 950 | " 0.0,\n", 951 | " 0.0,\n", 952 | " 0.0,\n", 953 | " 0.0,\n", 954 | " 0.0,\n", 955 | " 0.0,\n", 956 | " 0.0,\n", 957 | " 0.0,\n", 958 | " 0.0,\n", 959 | " 0.0,\n", 960 | " 0.0,\n", 961 | " 0.0,\n", 962 | " 0.0,\n", 963 | " 0.0,\n", 964 | " 0.0,\n", 965 | " 0.0,\n", 966 | " 0.0,\n", 967 | " 0.0,\n", 968 | " 0.0,\n", 969 | " 0.0,\n", 970 | " 0.0,\n", 971 | " 0.0,\n", 972 | " 0.0,\n", 973 | " 0.0,\n", 974 | " 0.0,\n", 975 | " 0.0,\n", 976 | " 0.0,\n", 977 | " 0.0,\n", 978 | " 0.0,\n", 979 | " 0.0,\n", 980 | " 0.0,\n", 981 | " 0.0,\n", 982 | " 0.0,\n", 983 | " 0.0,\n", 984 | " 0.0,\n", 985 | " 0.0,\n", 986 | " 0.0,\n", 987 | " 0.0,\n", 988 | " 0.0,\n", 989 | " 0.0,\n", 990 | " 0.0,\n", 991 | " 0.0,\n", 992 | " 0.0,\n", 993 | " 0.0,\n", 994 | " 0.0,\n", 995 | " 0.0,\n", 996 | " 0.0,\n", 997 | " 0.0,\n", 998 | " 0.0,\n", 999 | " 0.0,\n", 1000 | " 0.0,\n", 1001 | " 0.0,\n", 1002 | " 0.0,\n", 1003 | " 0.0,\n", 1004 | " 0.0,\n", 1005 | " 0.25882352941176473,\n", 1006 | " 0.6588235294117647,\n", 1007 | " 0.7725490196078432,\n", 1008 | " 0.6588235294117647,\n", 1009 | " 0.6078431372549019,\n", 1010 | " 0.3254901960784314,\n", 1011 | " 0.3254901960784314,\n", 1012 | " 0.3254901960784314,\n", 1013 | " 0.4666666666666667,\n", 1014 | " 0.6823529411764706,\n", 1015 | " 1.0,\n", 1016 | " 0.8470588235294118,\n", 1017 | " 0.0,\n", 1018 | " 0.0,\n", 1019 | " 0.0,\n", 1020 | " 0.0,\n", 1021 | " 0.0,\n", 1022 | " 0.0,\n", 1023 | " 0.0,\n", 1024 | " 0.0,\n", 1025 | " 0.0,\n", 1026 | " 0.0,\n", 1027 | " 0.0,\n", 1028 | " 0.0,\n", 1029 | " 0.0,\n", 1030 | " 0.0,\n", 1031 | " 0.0,\n", 1032 | " 0.0,\n", 1033 | " 0.7764705882352941,\n", 1034 | " 0.996078431372549,\n", 1035 | " 0.996078431372549,\n", 1036 | " 0.996078431372549,\n", 1037 | " 0.996078431372549,\n", 1038 | " 0.996078431372549,\n", 1039 | " 0.996078431372549,\n", 1040 | " 0.996078431372549,\n", 1041 | " 0.996078431372549,\n", 1042 | " 0.996078431372549,\n", 1043 | " 0.8588235294117647,\n", 1044 | " 0.22745098039215686,\n", 1045 | " 0.0,\n", 1046 | " 0.0,\n", 1047 | " 0.0,\n", 1048 | " 0.0,\n", 1049 | " 0.0,\n", 1050 | " 0.0,\n", 1051 | " 0.0,\n", 1052 | " 0.0,\n", 1053 | " 0.0,\n", 1054 | " 0.0,\n", 1055 | " 0.0,\n", 1056 | " 0.0,\n", 1057 | " 0.0,\n", 1058 | " 0.0,\n", 1059 | " 0.0,\n", 1060 | " 0.0,\n", 1061 | " 0.8980392156862745,\n", 1062 | " 0.996078431372549,\n", 1063 | " 0.6627450980392157,\n", 1064 | " 0.42745098039215684,\n", 1065 | " 0.7058823529411765,\n", 1066 | " 0.7058823529411765,\n", 1067 | " 0.7058823529411765,\n", 1068 | " 0.5568627450980392,\n", 1069 | " 0.37254901960784315,\n", 1070 | " 0.23137254901960785,\n", 1071 | " 0.0196078431372549,\n", 1072 | " 0.0,\n", 1073 | " 0.0,\n", 1074 | " 0.0,\n", 1075 | " 0.0,\n", 1076 | " 0.0,\n", 1077 | " 0.0,\n", 1078 | " 0.0,\n", 1079 | " 0.0,\n", 1080 | " 0.0,\n", 1081 | " 0.0,\n", 1082 | " 0.0,\n", 1083 | " 0.0,\n", 1084 | " 0.0,\n", 1085 | " 0.0,\n", 1086 | " 0.0,\n", 1087 | " 0.0,\n", 1088 | " 0.0,\n", 1089 | " 0.5725490196078431,\n", 1090 | " 0.996078431372549,\n", 1091 | " 0.4745098039215686,\n", 1092 | " 0.0,\n", 1093 | " 0.0,\n", 1094 | " 0.0,\n", 1095 | " 0.0,\n", 1096 | " 0.0,\n", 1097 | " 0.0,\n", 1098 | " 0.0,\n", 1099 | " 0.0,\n", 1100 | " 0.0,\n", 1101 | " 0.0,\n", 1102 | " 0.0,\n", 1103 | " 0.0,\n", 1104 | " 0.0,\n", 1105 | " 0.0,\n", 1106 | " 0.0,\n", 1107 | " 0.0,\n", 1108 | " 0.0,\n", 1109 | " 0.0,\n", 1110 | " 0.0,\n", 1111 | " 0.0,\n", 1112 | " 0.0,\n", 1113 | " 0.0,\n", 1114 | " 0.0,\n", 1115 | " 0.0,\n", 1116 | " 0.0,\n", 1117 | " 0.5568627450980392,\n", 1118 | " 0.996078431372549,\n", 1119 | " 0.4745098039215686,\n", 1120 | " 0.0,\n", 1121 | " 0.0,\n", 1122 | " 0.0,\n", 1123 | " 0.0,\n", 1124 | " 0.0,\n", 1125 | " 0.0,\n", 1126 | " 0.0,\n", 1127 | " 0.0,\n", 1128 | " 0.0,\n", 1129 | " 0.0,\n", 1130 | " 0.0,\n", 1131 | " 0.0,\n", 1132 | " 0.0,\n", 1133 | " 0.0,\n", 1134 | " 0.0,\n", 1135 | " 0.0,\n", 1136 | " 0.0,\n", 1137 | " 0.0,\n", 1138 | " 0.0,\n", 1139 | " 0.0,\n", 1140 | " 0.0,\n", 1141 | " 0.0,\n", 1142 | " 0.0,\n", 1143 | " 0.0,\n", 1144 | " 0.0,\n", 1145 | " 0.5568627450980392,\n", 1146 | " 0.996078431372549,\n", 1147 | " 0.7803921568627451,\n", 1148 | " 0.0,\n", 1149 | " 0.0,\n", 1150 | " 0.0,\n", 1151 | " 0.0,\n", 1152 | " 0.0,\n", 1153 | " 0.0,\n", 1154 | " 0.0,\n", 1155 | " 0.0,\n", 1156 | " 0.0,\n", 1157 | " 0.0,\n", 1158 | " 0.0,\n", 1159 | " 0.0,\n", 1160 | " 0.0,\n", 1161 | " 0.0,\n", 1162 | " 0.0,\n", 1163 | " 0.0,\n", 1164 | " 0.0,\n", 1165 | " 0.0,\n", 1166 | " 0.0,\n", 1167 | " 0.0,\n", 1168 | " 0.0,\n", 1169 | " 0.0,\n", 1170 | " 0.0,\n", 1171 | " 0.0,\n", 1172 | " 0.0,\n", 1173 | " 0.5568627450980392,\n", 1174 | " 0.996078431372549,\n", 1175 | " 0.5019607843137255,\n", 1176 | " 0.0,\n", 1177 | " 0.0,\n", 1178 | " 0.0,\n", 1179 | " 0.0,\n", 1180 | " 0.0,\n", 1181 | " 0.0,\n", 1182 | " 0.0,\n", 1183 | " 0.0,\n", 1184 | " 0.0,\n", 1185 | " 0.0,\n", 1186 | " 0.0,\n", 1187 | " 0.0,\n", 1188 | " 0.0,\n", 1189 | " 0.0,\n", 1190 | " 0.0,\n", 1191 | " 0.0,\n", 1192 | " 0.0,\n", 1193 | " 0.0,\n", 1194 | " 0.0,\n", 1195 | " 0.0,\n", 1196 | " 0.0,\n", 1197 | " 0.0,\n", 1198 | " 0.0,\n", 1199 | " 0.0,\n", 1200 | " 0.0,\n", 1201 | " 0.5568627450980392,\n", 1202 | " 0.996078431372549,\n", 1203 | " 0.4745098039215686,\n", 1204 | " 0.0,\n", 1205 | " 0.0,\n", 1206 | " 0.09803921568627451,\n", 1207 | " 0.20392156862745098,\n", 1208 | " 0.1843137254901961,\n", 1209 | " 0.0,\n", 1210 | " 0.0,\n", 1211 | " 0.0,\n", 1212 | " 0.0,\n", 1213 | " 0.0,\n", 1214 | " 0.0,\n", 1215 | " 0.0,\n", 1216 | " 0.0,\n", 1217 | " 0.0,\n", 1218 | " 0.0,\n", 1219 | " 0.0,\n", 1220 | " 0.0,\n", 1221 | " 0.0,\n", 1222 | " 0.0,\n", 1223 | " 0.0,\n", 1224 | " 0.0,\n", 1225 | " 0.0,\n", 1226 | " 0.0,\n", 1227 | " 0.0,\n", 1228 | " 0.0,\n", 1229 | " 0.8509803921568627,\n", 1230 | " 0.996078431372549,\n", 1231 | " 0.6313725490196078,\n", 1232 | " 0.5803921568627451,\n", 1233 | " 0.8627450980392157,\n", 1234 | " 0.9254901960784314,\n", 1235 | " 0.996078431372549,\n", 1236 | " 0.9450980392156862,\n", 1237 | " 0.3686274509803922,\n", 1238 | " 0.0,\n", 1239 | " 0.0,\n", 1240 | " 0.0,\n", 1241 | " 0.0,\n", 1242 | " 0.0,\n", 1243 | " 0.0,\n", 1244 | " 0.0,\n", 1245 | " 0.0,\n", 1246 | " 0.0,\n", 1247 | " 0.0,\n", 1248 | " 0.0,\n", 1249 | " 0.0,\n", 1250 | " 0.0,\n", 1251 | " 0.0,\n", 1252 | " 0.0,\n", 1253 | " 0.0,\n", 1254 | " 0.0,\n", 1255 | " 0.0,\n", 1256 | " 0.08235294117647059,\n", 1257 | " 0.9294117647058824,\n", 1258 | " 0.996078431372549,\n", 1259 | " 0.996078431372549,\n", 1260 | " 0.996078431372549,\n", 1261 | " 0.996078431372549,\n", 1262 | " 0.9725490196078431,\n", 1263 | " 0.8470588235294118,\n", 1264 | " 0.996078431372549,\n", 1265 | " 0.9254901960784314,\n", 1266 | " 0.043137254901960784,\n", 1267 | " 0.0,\n", 1268 | " 0.0,\n", 1269 | " 0.0,\n", 1270 | " 0.0,\n", 1271 | " 0.0,\n", 1272 | " 0.0,\n", 1273 | " 0.0,\n", 1274 | " 0.0,\n", 1275 | " 0.0,\n", 1276 | " 0.0,\n", 1277 | " 0.0,\n", 1278 | " 0.0,\n", 1279 | " 0.0,\n", 1280 | " 0.0,\n", 1281 | " 0.0,\n", 1282 | " 0.0,\n", 1283 | " 0.0,\n", 1284 | " 0.11764705882352941,\n", 1285 | " 0.9450980392156862,\n", 1286 | " 0.996078431372549,\n", 1287 | " 0.9647058823529412,\n", 1288 | " 0.7843137254901961,\n", 1289 | " 0.4549019607843137,\n", 1290 | " 0.1450980392156863,\n", 1291 | " 0.023529411764705882,\n", 1292 | " 0.6470588235294118,\n", 1293 | " 0.996078431372549,\n", 1294 | " 0.6980392156862745,\n", 1295 | " 0.0,\n", 1296 | " 0.0,\n", 1297 | " 0.0,\n", 1298 | " 0.0,\n", 1299 | " 0.0,\n", 1300 | " 0.0,\n", 1301 | " 0.0,\n", 1302 | " 0.0,\n", 1303 | " 0.0,\n", 1304 | " 0.0,\n", 1305 | " 0.0,\n", 1306 | " 0.0,\n", 1307 | " 0.0,\n", 1308 | " 0.0,\n", 1309 | " 0.0,\n", 1310 | " 0.0,\n", 1311 | " 0.0,\n", 1312 | " 0.23921568627450981,\n", 1313 | " 0.996078431372549,\n", 1314 | " 0.996078431372549,\n", 1315 | " 0.6,\n", 1316 | " 0.0,\n", 1317 | " 0.0,\n", 1318 | " 0.0,\n", 1319 | " 0.0,\n", 1320 | " 0.2823529411764706,\n", 1321 | " 0.996078431372549,\n", 1322 | " 0.9607843137254902,\n", 1323 | " 0.12941176470588237,\n", 1324 | " 0.0,\n", 1325 | " 0.0,\n", 1326 | " 0.0,\n", 1327 | " 0.0,\n", 1328 | " 0.0,\n", 1329 | " 0.0,\n", 1330 | " 0.0,\n", 1331 | " 0.0,\n", 1332 | " 0.0,\n", 1333 | " 0.0,\n", 1334 | " 0.0,\n", 1335 | " 0.0,\n", 1336 | " 0.0,\n", 1337 | " 0.0,\n", 1338 | " 0.0,\n", 1339 | " 0.0,\n", 1340 | " 0.050980392156862744,\n", 1341 | " 0.6941176470588235,\n", 1342 | " 0.5058823529411764,\n", 1343 | " 0.027450980392156862,\n", 1344 | " 0.0,\n", 1345 | " 0.0,\n", 1346 | " 0.0,\n", 1347 | " 0.0,\n", 1348 | " 0.058823529411764705,\n", 1349 | " 0.8470588235294118,\n", 1350 | " 0.996078431372549,\n", 1351 | " 0.5372549019607843,\n", 1352 | " 0.0,\n", 1353 | " 0.0,\n", 1354 | " 0.0,\n", 1355 | " 0.0,\n", 1356 | " 0.0,\n", 1357 | " 0.0,\n", 1358 | " 0.0,\n", 1359 | " 0.0,\n", 1360 | " 0.0,\n", 1361 | " 0.0,\n", 1362 | " 0.0,\n", 1363 | " 0.0,\n", 1364 | " 0.0,\n", 1365 | " 0.0,\n", 1366 | " 0.0,\n", 1367 | " 0.0,\n", 1368 | " 0.0,\n", 1369 | " 0.0,\n", 1370 | " 0.0,\n", 1371 | " 0.0,\n", 1372 | " 0.0,\n", 1373 | " 0.0,\n", 1374 | " 0.0,\n", 1375 | " 0.0,\n", 1376 | " 0.0,\n", 1377 | " 0.42745098039215684,\n", 1378 | " 0.996078431372549,\n", 1379 | " 0.8705882352941177,\n", 1380 | " 0.0,\n", 1381 | " 0.0,\n", 1382 | " 0.0,\n", 1383 | " 0.0,\n", 1384 | " 0.0,\n", 1385 | " 0.0,\n", 1386 | " 0.0,\n", 1387 | " 0.0,\n", 1388 | " 0.0,\n", 1389 | " 0.0,\n", 1390 | " 0.0,\n", 1391 | " 0.0,\n", 1392 | " 0.0,\n", 1393 | " 0.054901960784313725,\n", 1394 | " 0.06274509803921569,\n", 1395 | " 0.0,\n", 1396 | " 0.0,\n", 1397 | " 0.0,\n", 1398 | " 0.0,\n", 1399 | " 0.0,\n", 1400 | " 0.0,\n", 1401 | " 0.0,\n", 1402 | " 0.0,\n", 1403 | " 0.0,\n", 1404 | " 0.0,\n", 1405 | " 0.42745098039215684,\n", 1406 | " 0.996078431372549,\n", 1407 | " 0.9450980392156862,\n", 1408 | " 0.0,\n", 1409 | " 0.0,\n", 1410 | " 0.0,\n", 1411 | " 0.0,\n", 1412 | " 0.0,\n", 1413 | " 0.0,\n", 1414 | " 0.0,\n", 1415 | " 0.0,\n", 1416 | " 0.0,\n", 1417 | " 0.0,\n", 1418 | " 0.0,\n", 1419 | " 0.0,\n", 1420 | " 0.23137254901960785,\n", 1421 | " 0.9019607843137255,\n", 1422 | " 0.8352941176470589,\n", 1423 | " 0.050980392156862744,\n", 1424 | " 0.0,\n", 1425 | " 0.0,\n", 1426 | " 0.0,\n", 1427 | " 0.0,\n", 1428 | " 0.0,\n", 1429 | " 0.0,\n", 1430 | " 0.0,\n", 1431 | " 0.0,\n", 1432 | " 0.00784313725490196,\n", 1433 | " 0.6901960784313725,\n", 1434 | " 0.996078431372549,\n", 1435 | " 0.6901960784313725,\n", 1436 | " 0.0,\n", 1437 | " 0.0,\n", 1438 | " 0.0,\n", 1439 | " 0.0,\n", 1440 | " 0.0,\n", 1441 | " 0.0,\n", 1442 | " 0.0,\n", 1443 | " 0.0,\n", 1444 | " 0.0,\n", 1445 | " 0.0,\n", 1446 | " 0.0,\n", 1447 | " 0.0,\n", 1448 | " 0.2901960784313726,\n", 1449 | " 0.9921568627450981,\n", 1450 | " 0.996078431372549,\n", 1451 | " 0.8352941176470589,\n", 1452 | " 0.4549019607843137,\n", 1453 | " 0.023529411764705882,\n", 1454 | " 0.0,\n", 1455 | " 0.0,\n", 1456 | " 0.0,\n", 1457 | " 0.0,\n", 1458 | " 0.0,\n", 1459 | " 0.06666666666666667,\n", 1460 | " 0.5647058823529412,\n", 1461 | " 0.996078431372549,\n", 1462 | " 0.996078431372549,\n", 1463 | " 0.5882352941176471,\n", 1464 | " 0.0,\n", 1465 | " 0.0,\n", 1466 | " 0.0,\n", 1467 | " 0.0,\n", 1468 | " 0.0,\n", 1469 | " 0.0,\n", 1470 | " 0.0,\n", 1471 | " 0.0,\n", 1472 | " 0.0,\n", 1473 | " 0.0,\n", 1474 | " 0.0,\n", 1475 | " 0.0,\n", 1476 | " 0.0,\n", 1477 | " 0.3764705882352941,\n", 1478 | " 0.8274509803921568,\n", 1479 | " 0.9921568627450981,\n", 1480 | " 0.996078431372549,\n", 1481 | " 0.8352941176470589,\n", 1482 | " 0.6392156862745098,\n", 1483 | " 0.3764705882352941,\n", 1484 | " 0.3764705882352941,\n", 1485 | " 0.25882352941176473,\n", 1486 | " 0.4196078431372549,\n", 1487 | " 0.8392156862745098,\n", 1488 | " 0.996078431372549,\n", 1489 | " 0.996078431372549,\n", 1490 | " 0.9333333333333333,\n", 1491 | " 0.0784313725490196,\n", 1492 | " 0.0,\n", 1493 | " 0.0,\n", 1494 | " 0.0,\n", 1495 | " 0.0,\n", 1496 | " 0.0,\n", 1497 | " 0.0,\n", 1498 | " 0.0,\n", 1499 | " 0.0,\n", 1500 | " 0.0,\n", 1501 | " 0.0,\n", 1502 | " 0.0,\n", 1503 | " 0.0,\n", 1504 | " 0.0,\n", 1505 | " 0.0,\n", 1506 | " 0.0,\n", 1507 | " 0.37254901960784315,\n", 1508 | " 0.9529411764705882,\n", 1509 | " 0.996078431372549,\n", 1510 | " 0.996078431372549,\n", 1511 | " 0.996078431372549,\n", 1512 | " 0.996078431372549,\n", 1513 | " 0.996078431372549,\n", 1514 | " 0.996078431372549,\n", 1515 | " 0.9921568627450981,\n", 1516 | " 0.9411764705882353,\n", 1517 | " 0.611764705882353,\n", 1518 | " 0.1803921568627451,\n", 1519 | " 0.0,\n", 1520 | " 0.0,\n", 1521 | " 0.0,\n", 1522 | " 0.0,\n", 1523 | " 0.0,\n", 1524 | " 0.0,\n", 1525 | " 0.0,\n", 1526 | " 0.0,\n", 1527 | " 0.0,\n", 1528 | " 0.0,\n", 1529 | " 0.0,\n", 1530 | " 0.0,\n", 1531 | " 0.0,\n", 1532 | " 0.0,\n", 1533 | " 0.0,\n", 1534 | " 0.0,\n", 1535 | " 0.0,\n", 1536 | " 0.0784313725490196,\n", 1537 | " 0.396078431372549,\n", 1538 | " 0.7254901960784313,\n", 1539 | " 0.9294117647058824,\n", 1540 | " 0.6549019607843137,\n", 1541 | " 0.6549019607843137,\n", 1542 | " 0.3843137254901961,\n", 1543 | " 0.2823529411764706,\n", 1544 | " 0.0,\n", 1545 | " 0.0,\n", 1546 | " 0.0,\n", 1547 | " 0.0,\n", 1548 | " 0.0,\n", 1549 | " 0.0,\n", 1550 | " 0.0,\n", 1551 | " 0.0,\n", 1552 | " 0.0,\n", 1553 | " 0.0,\n", 1554 | " 0.0,\n", 1555 | " 0.0,\n", 1556 | " 0.0,\n", 1557 | " 0.0,\n", 1558 | " 0.0,\n", 1559 | " 0.0,\n", 1560 | " 0.0,\n", 1561 | " 0.0,\n", 1562 | " 0.0,\n", 1563 | " 0.0,\n", 1564 | " 0.0,\n", 1565 | " 0.0,\n", 1566 | " 0.0,\n", 1567 | " 0.0,\n", 1568 | " 0.0,\n", 1569 | " 0.0,\n", 1570 | " 0.0,\n", 1571 | " 0.0,\n", 1572 | " 0.0,\n", 1573 | " 0.0,\n", 1574 | " 0.0,\n", 1575 | " 0.0,\n", 1576 | " 0.0,\n", 1577 | " 0.0,\n", 1578 | " 0.0,\n", 1579 | " 0.0,\n", 1580 | " 0.0,\n", 1581 | " 0.0,\n", 1582 | " 0.0,\n", 1583 | " 0.0,\n", 1584 | " 0.0,\n", 1585 | " 0.0,\n", 1586 | " 0.0,\n", 1587 | " 0.0,\n", 1588 | " 0.0,\n", 1589 | " 0.0,\n", 1590 | " 0.0,\n", 1591 | " 0.0,\n", 1592 | " 0.0,\n", 1593 | " 0.0,\n", 1594 | " 0.0,\n", 1595 | " 0.0,\n", 1596 | " 0.0,\n", 1597 | " 0.0,\n", 1598 | " 0.0,\n", 1599 | " 0.0,\n", 1600 | " 0.0,\n", 1601 | " 0.0,\n", 1602 | " 0.0,\n", 1603 | " 0.0,\n", 1604 | " 0.0,\n", 1605 | " 0.0,\n", 1606 | " 0.0,\n", 1607 | " 0.0,\n", 1608 | " 0.0,\n", 1609 | " 0.0,\n", 1610 | " 0.0,\n", 1611 | " 0.0,\n", 1612 | " 0.0,\n", 1613 | " 0.0,\n", 1614 | " 0.0,\n", 1615 | " 0.0,\n", 1616 | " 0.0,\n", 1617 | " 0.0,\n", 1618 | " 0.0,\n", 1619 | " 0.0,\n", 1620 | " 0.0,\n", 1621 | " 0.0,\n", 1622 | " 0.0,\n", 1623 | " 0.0,\n", 1624 | " 0.0,\n", 1625 | " 0.0,\n", 1626 | " 0.0,\n", 1627 | " 0.0,\n", 1628 | " 0.0,\n", 1629 | " 0.0,\n", 1630 | " 0.0,\n", 1631 | " 0.0,\n", 1632 | " 0.0,\n", 1633 | " 0.0,\n", 1634 | " 0.0,\n", 1635 | " 0.0,\n", 1636 | " 0.0,\n", 1637 | " 0.0,\n", 1638 | " 0.0,\n", 1639 | " 0.0,\n", 1640 | " 0.0,\n", 1641 | " 0.0,\n", 1642 | " 0.0,\n", 1643 | " 0.0,\n", 1644 | " 0.0,\n", 1645 | " 0.0,\n", 1646 | " 0.0,\n", 1647 | " 0.0,\n", 1648 | " 0.0,\n", 1649 | " 0.0,\n", 1650 | " 0.0,\n", 1651 | " 0.0,\n", 1652 | " 0.0,\n", 1653 | " 0.0,\n", 1654 | " 0.0,\n", 1655 | " 0.0,\n", 1656 | " 0.0,\n", 1657 | " 0.0,\n", 1658 | " 0.0,\n", 1659 | " 0.0,\n", 1660 | " 0.0,\n", 1661 | " 0.0,\n", 1662 | " 0.0,\n", 1663 | " 0.0,\n", 1664 | " 0.0,\n", 1665 | " 0.0,\n", 1666 | " 0.0]" 1667 | ] 1668 | }, 1669 | "execution_count": 5, 1670 | "metadata": {}, 1671 | "output_type": "execute_result" 1672 | } 1673 | ], 1674 | "source": [ 1675 | "pixelmap_values_infloat" 1676 | ] 1677 | }, 1678 | { 1679 | "cell_type": "code", 1680 | "execution_count": 55, 1681 | "id": "c30f740e", 1682 | "metadata": {}, 1683 | "outputs": [ 1684 | { 1685 | "data": { 1686 | "text/plain": [ 1687 | "254" 1688 | ] 1689 | }, 1690 | "execution_count": 55, 1691 | "metadata": {}, 1692 | "output_type": "execute_result" 1693 | } 1694 | ], 1695 | "source": [ 1696 | "temp = data[10][10].split('+')\n", 1697 | "\n", 1698 | "temp[0] = temp[0].replace('e', '')\n", 1699 | "\n", 1700 | "temp[0] = float(temp[0])\n", 1701 | "temp[1] = int(temp[1])\n", 1702 | "\n", 1703 | "int(temp[0]*(10**temp[1]))" 1704 | ] 1705 | }, 1706 | { 1707 | "cell_type": "code", 1708 | "execution_count": 89, 1709 | "id": "41b05407", 1710 | "metadata": {}, 1711 | "outputs": [ 1712 | { 1713 | "name": "stdout", 1714 | "output_type": "stream", 1715 | "text": [ 1716 | "['2.550000000000000000', '02']\n" 1717 | ] 1718 | }, 1719 | { 1720 | "data": { 1721 | "text/plain": [ 1722 | "255" 1723 | ] 1724 | }, 1725 | "execution_count": 89, 1726 | "metadata": {}, 1727 | "output_type": "execute_result" 1728 | } 1729 | ], 1730 | "source": [ 1731 | "temp = '2.550000000000000000e+02'.split('+')\n", 1732 | "\n", 1733 | "temp[0] = temp[0].replace('e', '')\n", 1734 | "\n", 1735 | "print(temp)\n", 1736 | "\n", 1737 | "temp[0] = float(temp[0])\n", 1738 | "\n", 1739 | "temp[1] = int(temp[1])\n", 1740 | "\n", 1741 | "int(round(temp[0]*(10**temp[1])))" 1742 | ] 1743 | }, 1744 | { 1745 | "cell_type": "code", 1746 | "execution_count": null, 1747 | "id": "ef920e5a", 1748 | "metadata": {}, 1749 | "outputs": [], 1750 | "source": [] 1751 | } 1752 | ], 1753 | "metadata": { 1754 | "kernelspec": { 1755 | "display_name": "Python 3 (ipykernel)", 1756 | "language": "python", 1757 | "name": "python3" 1758 | }, 1759 | "language_info": { 1760 | "codemirror_mode": { 1761 | "name": "ipython", 1762 | "version": 3 1763 | }, 1764 | "file_extension": ".py", 1765 | "mimetype": "text/x-python", 1766 | "name": "python", 1767 | "nbconvert_exporter": "python", 1768 | "pygments_lexer": "ipython3", 1769 | "version": "3.11.2" 1770 | } 1771 | }, 1772 | "nbformat": 4, 1773 | "nbformat_minor": 5 1774 | } 1775 | -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- 1 | # Verilog implementation of a pre-trained 28x28 pixel handwritten single digit recognition neural network 2 | Hardware implementation of a pre-trained neural network circuit with 3 layers that is able to recognize handwritten single digits based on 28x28 input pixel map. 3 | 4 | 5 | # __File Descriptions (.sv):__ 6 | 7 | tb_neuralnetwork.sv: testbench for neural_network.sv 8 | 9 | neural_network.sv: "main" top-level module 10 | 11 | input_layer.sv: module that implements the behaviour of a layer in the NN 12 | 13 | neuron_inputlayer.sv: module that implements the behaviour of a neuron in the NN 14 | 15 | register.sv: module for neuron (gets the data at the index specified by the counter) 16 | 17 | multiplier.sv: module for neuron (multiplies the weight and input values together) 18 | 19 | adder.sv: module for neuron (adds the results of multiplier.sv) 20 | 21 | ReLu.sv: adds the bias term when to the output of adder.sv when the counter reaches the end of the weight and data files, and then applies ReLu activation if needed 22 | 23 | counter.sv: counter that starts at 0 and increments by 1 up on every positive clock edge, until the output reaches the value of the parameter #COUNTER_END 24 | 25 | # __File Descriptions (.mem):__ 26 | 27 | w1_1-w1_10: weight values for the first layer in the neural network (in 32 bit hexadecimal format) 28 | w2_1-w2_10: weight values for the second layer in the neural network (in 32 bit hexadecimal format) 29 | data_in: data values (in 32 bit hexadecimal format) 30 | b1: bias values for the neurons in the first layer (in 32 bit hexadecimal format) 31 | b2: bias values for the neurons in the second layer (in 32 bit hexadecimal format) 32 | 33 | # __Hierarchy of Files:__ 34 | 35 | Simulation Sources: 36 | 37 | tb_neuralnetwork.sv X 1 38 | 39 | Design Sources: 40 | 41 | neural_network.sv X 1 42 | - input_layer.sv X 2 43 | - neuron_inputlayer.sv x 10 44 | - register.sv X 2 45 | - multiplier.sv X 1 46 | - adder.sv X 1 47 | - ReLu.sv X 1 48 | - counter.sv X 1 49 | 50 | 51 | # __Block diagram:__ 52 | 53 | See BlockDiagram.png. 54 | 55 | # __How to use this:__ 56 | 57 | __Step 1:__ Resize the canvas in paint to 28x28 pixels and draw any digit in between 0-9. Then invert the color, and then save as a png file. 58 | 59 | ![step1](https://user-images.githubusercontent.com/126916558/226153939-a270a304-ffdd-441f-9ab8-3864d5d8588b.PNG) 60 | 61 | ![4_marko](https://user-images.githubusercontent.com/126916558/226153957-6ccf1e24-eeb7-4f53-a865-bc0ab9c67455.png) 62 | 63 | __Step 2:__ Convert the image to a .csv file of pixel values in a 1D array, by using the Convert Image to... .ipynb file in the repo. 64 | 65 | ![image](https://user-images.githubusercontent.com/126916558/226154252-f089e756-2f3c-4040-ad4d-10e4ba2af39d.png) 66 | 67 | __Step 3:__ Use the code in File2.ipynb to convert the (Your Number)_prenormalized.csv to (Your Number).csv. 68 | 69 | __Step 4:__ Use the code in File1.ipynb to convert the (Your Number)_prenormalized.csv to (Your Number)_fixed.csv and (Your Number)_hex.csv. 70 | 71 | __Step 5:__ Create a new vivado project, add these files as design sources: 72 | 73 | neural_network.sv 74 | input_layer.sv 75 | neuron_inputlayer.sv 76 | register.sv 77 | multiplier.sv 78 | adder.sv 79 | ReLu.sv 80 | counter.sv 81 | 82 | And add this file as a simulation source: 83 | 84 | tb_neuralnetwork.sv 85 | 86 | Then add these as your .mem files: 87 | 88 | w1_1.mem - w1_10.mem 89 | w2_1.mem - w2_1.mem 90 | b1.mem - b2.mem 91 | 92 | And you need to add one more .mem file which is not in the repo, but is the data from (Your Number)_hex.csv, so copy the contents of that file like this and paste it in a new .mem file called data_in.mem: 93 | 94 | ![image](https://user-images.githubusercontent.com/126916558/226155214-3d7989a4-a81a-48fc-ad31-e44e19e38694.png) 95 | 96 | ![image](https://user-images.githubusercontent.com/126916558/226155244-bee87bdf-fec7-458c-9af4-4af6cbe4dacb.png) 97 | 98 | __Step 6:__ 99 | 100 | You need to "pad" the data_in.mem file with 20 rows of 00000000 before the beginning of the first line (before padding) and after the last line of the file (also before padding). If you don't do this, the simulation will not output the correct behaviour result. 101 | 102 | __Step 7:__ 103 | 104 | Set simulation time to 9000 ns. 105 | 106 | ![image](https://user-images.githubusercontent.com/126916558/226156390-75ed374c-9bd6-4271-a664-5a40d72d7718.png) 107 | 108 | __Step 8:__ 109 | 110 | Run the simulation, and copy the last part of the data from the output, circled in red in the image below (the last 10 lines, and this column): 111 | 112 | ![image](https://user-images.githubusercontent.com/126916558/226157431-f1052bf8-386a-41d2-96a9-04a9248459fe.png) 113 | 114 | These are the ouput values of the neural networked in fixed point representation, so we need to convert them back to floating point, and then convert those to softmax, to get our final prediction/result. Both of these things can be done File1.ipynb. For the parameters of the to_float function, the first parameter is the number, and the second parameter set to 45. Then when you converted all 10 of the fixed values to float, you can put them in the softmax array in the code, run the module, and see what the predicted output is, like such: 115 | 116 | ![image](https://user-images.githubusercontent.com/126916558/226158471-75f12e1d-975e-4ad6-8927-d4dfa899ac3b.png) 117 | 118 | As you can see, unfortunately the neural network did not predict that the digit was 4. This is mainly due to two reasons, first reason, this network was not trained to recognize the way I write but instead was trained using the standard MNIST database for neural network training, and second, this network is also relatively simple and has been tested to have a 84% accuracy, which albeit high, still makes mistakes. However, the reason it failed here is mostly due to the first reason, to prove this I will use the data from the following image, which is one of the MNIST images which the NN was trained to recognize: 119 | 120 | ![image](https://user-images.githubusercontent.com/126916558/226158898-6ca20407-b440-4652-8378-d68ec65d912f.png) 121 | 122 | We get these results from the simulation: 123 | 124 | ![image](https://user-images.githubusercontent.com/126916558/226158893-a69ff585-81f2-4028-89a4-f26a0fc5b131.png) 125 | 126 | Which when we then convert to float, and apply softmax, we get the predicted result that we expect, 8. 127 | 128 | ![image](https://user-images.githubusercontent.com/126916558/226159160-9dc7f431-afc9-47d8-8772-61c498697866.png) 129 | 130 | # __Acknowledgments:__ 131 | 132 | A huge thanks to Samson Zhang (YouTube: @SamsonZhangTheSalmon) for providing his NN training code free of charge, this project would not of been possible without him! 133 | 134 | (Link to Samson's code: https://www.kaggle.com/code/wwsalmon/simple-mnist-nn-from-scratch-numpy-no-tf-keras/notebook) 135 | 136 | # __Future Work:__ 137 | 138 | It would be much more beneficial to investigate ways of training a neural network on FPGA, meaning, designing sophisticated enough Verilog code that can be synthesized on an FPGA to both train and simulate neural networks. Much more work should be done on investigating ways of efficiently converting sophisticated neural network algorithms/structures, to synthesizable digital circuit design using Verilog. As we saw, this neural network, although it was able to pretty accurately predict from the result from the images in the training set it was given, it failed pretty miserabely when it came to predicting my own handwritting, despite being a completely valid input. Ways to resolve this issue as effictively and efficiently as possible should really be investigated in future work, and this might involve using more sophisticated neural network algorithms, structures, and/or ideas. 139 | -------------------------------------------------------------------------------- /ReLu.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | module ReLu #(parameter BITS, COUNTER_END, B_BITS) 4 | ( 5 | input clk, 6 | input activation_function, //1 for ReLu, 0 for none 7 | input reg [31:0] counter, 8 | input reg signed [BITS+24:0] mult_sum_in, 9 | input reg signed [B_BITS:0] b, 10 | output reg signed [BITS+24:0] neuron_out 11 | ); 12 | 13 | always @ (posedge clk) begin 14 | //$display("counter:%d neuron_out:%d activation function:%d",counter, neuron_out,activation_function); 15 | if (counter >= COUNTER_END) begin 16 | neuron_out = mult_sum_in + b; 17 | $display("counter:%d neuron_out:%d activation function:%d",counter, neuron_out,activation_function); 18 | if (neuron_out > 0) 19 | ; 20 | else 21 | if (activation_function) begin 22 | neuron_out = 0; 23 | end 24 | end 25 | else begin 26 | neuron_out = 0; 27 | end 28 | end 29 | 30 | 31 | always @ (posedge clk) begin 32 | //$display("neuron_out:%h",neuron_out); 33 | end 34 | 35 | 36 | endmodule 37 | -------------------------------------------------------------------------------- /adder.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | module adder #(parameter BITS) 4 | ( 5 | input clk, 6 | input rstn, 7 | input reg [31:0] counter, 8 | input reg signed [BITS+16:0] value_in, 9 | output reg signed [BITS+24:0] value_out); 10 | 11 | always @ (value_in) begin 12 | $display("counter_add:%d sum_out:%d", counter, value_out); 13 | if (! rstn) 14 | value_out <= 0; 15 | else 16 | value_out <= value_out+value_in; 17 | end 18 | 19 | endmodule -------------------------------------------------------------------------------- /b1.mem: -------------------------------------------------------------------------------- 1 | 30e4b1bc 2 | 0fd8f058 3 | 2dec27ab 4 | 3233f287 5 | 1c122b0a 6 | 2d13b4e0 7 | 248ea01c 8 | 25b8c40f 9 | 1b9d30a5 10 | 143829a6 -------------------------------------------------------------------------------- /b2.mem: -------------------------------------------------------------------------------- 1 | fffff126f6849909 2 | 000002dbd7ee7abc 3 | 00000c44a6ec7c3d 4 | fffffd2f39c1548b 5 | 000004aebb02b068 6 | fffff04bb67ff86c 7 | fffff5e1a9a7e4a2 8 | 0000078298c4ede4 9 | 00000b844874d537 10 | fffff19a346b34d3 -------------------------------------------------------------------------------- /counter.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | module counter #(parameter END_COUNTER) 4 | ( 5 | input clk, 6 | input rstn, 7 | output reg[31:0] counter_out, 8 | output reg counter_donestatus 9 | ); 10 | 11 | always @ (posedge clk) begin 12 | //$display("counter:%d counter_done_Status:%d",counter_out,counter_donestatus); 13 | if (! rstn) 14 | begin 15 | counter_out <= 0; 16 | counter_donestatus <= 0; 17 | end 18 | else 19 | begin 20 | counter_out <= counter_out + 1; 21 | counter_donestatus <=0; 22 | end 23 | 24 | if (counter_out >= END_COUNTER)//16'h0334 25 | begin 26 | counter_out <= END_COUNTER; 27 | counter_donestatus <=1; 28 | end 29 | else 30 | begin 31 | counter_donestatus <=0; 32 | end 33 | end 34 | endmodule -------------------------------------------------------------------------------- /data_in.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | 00000000 22 | 00000000 23 | 00000000 24 | 00000000 25 | 00000000 26 | 00000000 27 | 00000000 28 | 00000000 29 | 00000000 30 | 00000000 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 51 | 00000000 52 | 00000000 53 | 00000000 54 | 00000000 55 | 00000000 56 | 00000000 57 | 00000000 58 | 00000000 59 | 00000000 60 | 00000000 61 | 00000000 62 | 00000000 63 | 00000000 64 | 00000000 65 | 00000000 66 | 00000000 67 | 00000000 68 | 00000000 69 | 00000000 70 | 00000000 71 | 00000000 72 | 00000000 73 | 00000000 74 | 00000000 75 | 00000000 76 | 00000000 77 | 00000000 78 | 00000000 79 | 00000000 80 | 00000000 81 | 00000000 82 | 00000000 83 | 00000000 84 | 00000000 85 | 00000000 86 | 00000000 87 | 00000000 88 | 00000000 89 | 00000000 90 | 00000000 91 | 00000000 92 | 00000000 93 | 00000000 94 | 00000000 95 | 00000000 96 | 00000000 97 | 00000000 98 | 00000000 99 | 00000000 100 | 00000000 101 | 00000000 102 | 00000000 103 | 00000000 104 | 00000000 105 | 00000000 106 | 00000000 107 | 00000000 108 | 00000000 109 | 00000000 110 | 00000000 111 | 00000000 112 | 00000000 113 | 00000000 114 | 00000000 115 | 00000000 116 | 00000000 117 | 00000000 118 | 00000000 119 | 00000000 120 | 00000000 121 | 00000000 122 | 00000000 123 | 00000000 124 | 00000000 125 | 00000000 126 | 00000000 127 | 00000000 128 | 00000000 129 | 00000000 130 | 00000000 131 | 00000000 132 | 00000000 133 | 00000000 134 | 00000000 135 | 00000000 136 | 00000000 137 | 00000000 138 | 00000000 139 | 00000000 140 | 00000000 141 | 00000000 142 | 00000000 143 | 00000000 144 | 00000000 145 | 00000000 146 | 00000000 147 | 00000000 148 | 00000000 149 | 00000000 150 | 00000000 151 | 00000000 152 | 00000000 153 | 00000000 154 | 00000000 155 | 00000000 156 | 00000000 157 | 00000000 158 | 00000000 159 | 00000000 160 | 00000000 161 | 00000000 162 | 00000000 163 | 00000000 164 | 00000000 165 | 00000000 166 | 00000000 167 | 00000000 168 | 00000000 169 | 00000000 170 | 00000000 171 | 00000000 172 | 00000000 173 | 00000000 174 | 00000000 175 | 00000000 176 | 00000000 177 | 00000000 178 | 00000000 179 | 00000000 180 | 00000000 181 | 00000000 182 | 00000000 183 | 00000000 184 | 00000000 185 | 00000000 186 | 00000000 187 | 00000000 188 | 00000000 189 | 00000000 190 | 00000000 191 | 00000000 192 | 00000000 193 | 00000000 194 | 00000000 195 | 00000000 196 | 00000000 197 | 00000000 198 | 00000000 199 | 00000000 200 | 00000000 201 | 00000586 202 | 000040c1 203 | 00007f7f 204 | 00007eff 205 | 00007eff 206 | 00002c2c 207 | 00000000 208 | 00000000 209 | 00000000 210 | 00000000 211 | 00000000 212 | 00000000 213 | 00000000 214 | 00000000 215 | 00000000 216 | 00000000 217 | 00000000 218 | 00000000 219 | 00000000 220 | 00000000 221 | 00000000 222 | 00000000 223 | 00000000 224 | 00000000 225 | 00000000 226 | 00000000 227 | 00000000 228 | 00000606 229 | 000055d6 230 | 00007e7e 231 | 00007eff 232 | 00007e7e 233 | 00007e7e 234 | 00007373 235 | 00000000 236 | 00000000 237 | 00000000 238 | 00000000 239 | 00000000 240 | 00000000 241 | 00000000 242 | 00000000 243 | 00000000 244 | 00000000 245 | 00000000 246 | 00000000 247 | 00000000 248 | 00000000 249 | 00000000 250 | 00000000 251 | 00000000 252 | 00000000 253 | 00000000 254 | 00000000 255 | 00000000 256 | 000043c4 257 | 00007e7e 258 | 00007e7e 259 | 00007eff 260 | 00007e7e 261 | 00007e7e 262 | 00007373 263 | 00000000 264 | 00000000 265 | 00000000 266 | 00000000 267 | 00000000 268 | 00000000 269 | 00000000 270 | 00000000 271 | 00000000 272 | 00000000 273 | 00000000 274 | 00000000 275 | 00000000 276 | 00000000 277 | 00000000 278 | 00000000 279 | 00000000 280 | 00000000 281 | 00000000 282 | 00000000 283 | 000027a8 284 | 00007c7c 285 | 00007b7b 286 | 00007373 287 | 00003cbd 288 | 00004acb 289 | 000077f8 290 | 00007979 291 | 00001c1c 292 | 00000000 293 | 00000000 294 | 00000000 295 | 00000000 296 | 00000000 297 | 00000000 298 | 00000000 299 | 00000000 300 | 00000000 301 | 00000000 302 | 00000000 303 | 00000000 304 | 00000000 305 | 00000000 306 | 00000000 307 | 00000000 308 | 00000000 309 | 00000000 310 | 00000000 311 | 000073f4 312 | 00007e7e 313 | 00004bcc 314 | 00000000 315 | 00000000 316 | 00000000 317 | 00003232 318 | 00007e7e 319 | 000062e3 320 | 00000000 321 | 00000000 322 | 00000000 323 | 00000000 324 | 00000000 325 | 00000000 326 | 00000000 327 | 00000000 328 | 00000000 329 | 00000000 330 | 00000000 331 | 00000000 332 | 00000000 333 | 00000000 334 | 00000000 335 | 00000000 336 | 00000000 337 | 00000000 338 | 00002323 339 | 00007c7c 340 | 000076f7 341 | 00001596 342 | 00000000 343 | 00000000 344 | 00000000 345 | 00001c1c 346 | 00007c7c 347 | 000062e3 348 | 00000000 349 | 00000000 350 | 00000000 351 | 00000000 352 | 00000000 353 | 00000000 354 | 00000000 355 | 00000000 356 | 00000000 357 | 00000000 358 | 00000000 359 | 00000000 360 | 00000000 361 | 00000000 362 | 00000000 363 | 00000000 364 | 00000000 365 | 00000000 366 | 00005edf 367 | 00007e7e 368 | 00003636 369 | 00000000 370 | 00000000 371 | 00000000 372 | 00000000 373 | 00003232 374 | 00007e7e 375 | 000062e3 376 | 00000000 377 | 00000000 378 | 00000000 379 | 00000000 380 | 00000000 381 | 00000000 382 | 00000000 383 | 00000000 384 | 00000000 385 | 00000000 386 | 00000000 387 | 00000000 388 | 00000000 389 | 00000000 390 | 00000000 391 | 00000000 392 | 00000000 393 | 00001111 394 | 000073f4 395 | 00007b7b 396 | 00001394 397 | 00000000 398 | 00000000 399 | 00000000 400 | 00000000 401 | 00003bbc 402 | 00007e7e 403 | 000062e3 404 | 00000000 405 | 00000000 406 | 00000000 407 | 00000000 408 | 00000000 409 | 00000000 410 | 00000000 411 | 00000000 412 | 00000000 413 | 00000000 414 | 00000000 415 | 00000000 416 | 00000000 417 | 00000000 418 | 00000000 419 | 00000000 420 | 00000000 421 | 00001c1c 422 | 00007e7e 423 | 00007afb 424 | 00000f90 425 | 00000000 426 | 00000000 427 | 00000000 428 | 000029aa 429 | 000078f9 430 | 00007e7e 431 | 000051d2 432 | 00000000 433 | 00000000 434 | 00000000 435 | 00000000 436 | 00000000 437 | 00000000 438 | 00000000 439 | 00000000 440 | 00000000 441 | 00000000 442 | 00000000 443 | 00000000 444 | 00000000 445 | 00000000 446 | 00000000 447 | 00000000 448 | 00000000 449 | 00001c1c 450 | 00007e7e 451 | 00007e7e 452 | 000074f5 453 | 00005dde 454 | 000037b8 455 | 00006eef 456 | 000079fa 457 | 00007e7e 458 | 00007e7e 459 | 00002c2c 460 | 00000000 461 | 00000000 462 | 00000000 463 | 00000000 464 | 00000000 465 | 00000000 466 | 00000000 467 | 00000000 468 | 00000000 469 | 00000000 470 | 00000000 471 | 00000000 472 | 00000000 473 | 00000000 474 | 00000000 475 | 00000000 476 | 00000000 477 | 00001899 478 | 00007b7b 479 | 00007eff 480 | 00007eff 481 | 00007eff 482 | 00007eff 483 | 00008000 484 | 00007373 485 | 00007c7c 486 | 00007d7d 487 | 000026a7 488 | 00000000 489 | 00000000 490 | 00000000 491 | 00000000 492 | 00000000 493 | 00000000 494 | 00000000 495 | 00000000 496 | 00000000 497 | 00000000 498 | 00000000 499 | 00000000 500 | 00000000 501 | 00000000 502 | 00000000 503 | 00000000 504 | 00000000 505 | 00000000 506 | 00001e1e 507 | 000058d9 508 | 000071f2 509 | 00007e7e 510 | 00006ff0 511 | 00005dde 512 | 00001c9d 513 | 000068e9 514 | 00007676 515 | 00000b8c 516 | 00000000 517 | 00000000 518 | 00000000 519 | 00000000 520 | 00000000 521 | 00000000 522 | 00000000 523 | 00000000 524 | 00000000 525 | 00000000 526 | 00000000 527 | 00000000 528 | 00000000 529 | 00000000 530 | 00000000 531 | 00000000 532 | 00000000 533 | 00000000 534 | 00000000 535 | 00000000 536 | 00000d8e 537 | 00001616 538 | 00000c8d 539 | 00000000 540 | 00000000 541 | 000068e9 542 | 00007e7e 543 | 00002c2c 544 | 00000000 545 | 00000000 546 | 00000000 547 | 00000000 548 | 00000000 549 | 00000000 550 | 00000000 551 | 00000000 552 | 00000000 553 | 00000000 554 | 00000000 555 | 00000000 556 | 00000000 557 | 00000000 558 | 00000000 559 | 00000000 560 | 00000000 561 | 00000000 562 | 00000000 563 | 00000000 564 | 00000000 565 | 00000000 566 | 00000000 567 | 00000000 568 | 00000000 569 | 000068e9 570 | 000079fa 571 | 00001919 572 | 00000000 573 | 00000000 574 | 00000000 575 | 00000000 576 | 00000000 577 | 00000000 578 | 00000000 579 | 00000000 580 | 00000000 581 | 00000000 582 | 00000000 583 | 00000000 584 | 00000000 585 | 00000000 586 | 00000000 587 | 00000000 588 | 00000000 589 | 00000000 590 | 00000000 591 | 00000000 592 | 00000000 593 | 00000000 594 | 00000000 595 | 00000000 596 | 00000000 597 | 00005cdd 598 | 00007bfc 599 | 000022a3 600 | 00000000 601 | 00000000 602 | 00000000 603 | 00000000 604 | 00000000 605 | 00000000 606 | 00000000 607 | 00000000 608 | 00000000 609 | 00000000 610 | 00000000 611 | 00000000 612 | 00000000 613 | 00000000 614 | 00000000 615 | 00000000 616 | 00000000 617 | 00000000 618 | 00000000 619 | 00000000 620 | 00000000 621 | 00000000 622 | 00000000 623 | 00000000 624 | 00000000 625 | 00005d5d 626 | 00007373 627 | 00000000 628 | 00000000 629 | 00000000 630 | 00000000 631 | 00000000 632 | 00000000 633 | 00000000 634 | 00000000 635 | 00000000 636 | 00000000 637 | 00000000 638 | 00000000 639 | 00000000 640 | 00000000 641 | 00000000 642 | 00000000 643 | 00000000 644 | 00000000 645 | 00000000 646 | 00000000 647 | 00000000 648 | 00000000 649 | 00000000 650 | 00000000 651 | 00000000 652 | 00000000 653 | 000068e9 654 | 00007373 655 | 00000000 656 | 00000000 657 | 00000000 658 | 00000000 659 | 00000000 660 | 00000000 661 | 00000000 662 | 00000000 663 | 00000000 664 | 00000000 665 | 00000000 666 | 00000000 667 | 00000000 668 | 00000000 669 | 00000000 670 | 00000000 671 | 00000000 672 | 00000000 673 | 00000000 674 | 00000000 675 | 00000000 676 | 00000000 677 | 00000000 678 | 00000000 679 | 00000000 680 | 00000000 681 | 000068e9 682 | 00007373 683 | 00000000 684 | 00000000 685 | 00000000 686 | 00000000 687 | 00000000 688 | 00000000 689 | 00000000 690 | 00000000 691 | 00000000 692 | 00000000 693 | 00000000 694 | 00000000 695 | 00000000 696 | 00000000 697 | 00000000 698 | 00000000 699 | 00000000 700 | 00000000 701 | 00000000 702 | 00000000 703 | 00000000 704 | 00000000 705 | 00000000 706 | 00000000 707 | 00000000 708 | 00000000 709 | 000068e9 710 | 00007373 711 | 00000000 712 | 00000000 713 | 00000000 714 | 00000000 715 | 00000000 716 | 00000000 717 | 00000000 718 | 00000000 719 | 00000000 720 | 00000000 721 | 00000000 722 | 00000000 723 | 00000000 724 | 00000000 725 | 00000000 726 | 00000000 727 | 00000000 728 | 00000000 729 | 00000000 730 | 00000000 731 | 00000000 732 | 00000000 733 | 00000000 734 | 00000000 735 | 00000000 736 | 00000000 737 | 000050d1 738 | 00005b5b 739 | 00000000 740 | 00000000 741 | 00000000 742 | 00000000 743 | 00000000 744 | 00000000 745 | 00000000 746 | 00000000 747 | 00000000 748 | 00000000 749 | 00000000 750 | 00000000 751 | 00000000 752 | 00000000 753 | 00000000 754 | 00000000 755 | 00000000 756 | 00000000 757 | 00000000 758 | 00000000 759 | 00000000 760 | 00000000 761 | 00000000 762 | 00000000 763 | 00000000 764 | 00000000 765 | 00000000 766 | 00000000 767 | 00000000 768 | 00000000 769 | 00000000 770 | 00000000 771 | 00000000 772 | 00000000 773 | 00000000 774 | 00000000 775 | 00000000 776 | 00000000 777 | 00000000 778 | 00000000 779 | 00000000 780 | 00000000 781 | 00000000 782 | 00000000 783 | 00000000 784 | 00000000 785 | 00000000 786 | 00000000 787 | 00000000 788 | 00000000 789 | 00000000 790 | 00000000 791 | 00000000 792 | 00000000 793 | 00000000 794 | 00000000 795 | 00000000 796 | 00000000 797 | 00000000 798 | 00000000 799 | 00000000 800 | 00000000 801 | 00000000 802 | 00000000 803 | 00000000 804 | 00000000 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /hidden_layer.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | module layer2( 4 | input reg signed [15:0] b2 [0:9], 5 | input reg signed [15:0] w2_1 [0:9], 6 | input reg signed [15:0] w2_2 [0:9], 7 | input reg signed [15:0] w2_3 [0:9], 8 | input reg signed [15:0] w2_4 [0:9], 9 | input reg signed [15:0] w2_5 [0:9], 10 | input reg signed [15:0] w2_6 [0:9], 11 | input reg signed [15:0] w2_7 [0:9], 12 | input reg signed [15:0] w2_8 [0:9], 13 | input reg signed [15:0] w2_9 [0:9], 14 | input reg signed [15:0] w2_10 [0:9], 15 | input reg signed [31:0] data_in [0:9], 16 | input clk, 17 | input rstn, 18 | output signed [31:0] data_out [0:9], 19 | output counter_donestatus 20 | ); 21 | 22 | wire [15:0] bus_counter; 23 | 24 | neuron_layer2 neuron1( 25 | .weights (w2_1), 26 | .data_in (data_in), 27 | .b2 (b2[0]), 28 | .clk (clk), 29 | .rstn (rstn), 30 | .data_out (data_out[0]) 31 | ); 32 | 33 | neuron_layer2 neuron2( 34 | .weights (w2_2), 35 | .data_in (data_in), 36 | .b2 (b2[1]), 37 | .clk (clk), 38 | .rstn (rstn), 39 | .data_out (data_out[1]) 40 | ); 41 | 42 | neuron_layer2 neuron3( 43 | .weights (w2_3), 44 | .data_in (data_in), 45 | .b2 (b2[2]), 46 | .clk (clk), 47 | .rstn (rstn), 48 | .data_out (data_out[2]) 49 | ); 50 | 51 | neuron_layer2 neuron4( 52 | .weights (w2_4), 53 | .data_in (data_in), 54 | .b2 (b2[3]), 55 | .clk (clk), 56 | .rstn (rstn), 57 | .data_out (data_out[3]) 58 | ); 59 | 60 | neuron_layer2 neuron5( 61 | .weights (w2_5), 62 | .data_in (data_in), 63 | .b2 (b2[4]), 64 | .clk (clk), 65 | .rstn (rstn), 66 | .data_out (data_out[4]) 67 | ); 68 | 69 | neuron_layer2 neuron6( 70 | .weights (w2_6), 71 | .data_in (data_in), 72 | .b2 (b2[5]), 73 | .clk (clk), 74 | .rstn (rstn), 75 | .data_out (data_out[5]) 76 | ); 77 | 78 | neuron_layer2 neuron7( 79 | .weights (w2_7), 80 | .data_in (data_in), 81 | .b2 (b2[6]), 82 | .clk (clk), 83 | .rstn (rstn), 84 | .data_out (data_out[6]) 85 | ); 86 | 87 | neuron_layer2 neuron8( 88 | .weights (w2_8), 89 | .data_in (data_in), 90 | .b2 (b2[7]), 91 | .clk (clk), 92 | .rstn (rstn), 93 | .data_out (data_out[7]) 94 | ); 95 | 96 | neuron_layer2 neuron9( 97 | .weights (w2_9), 98 | .data_in (data_in), 99 | .b2 (b2[8]), 100 | .clk (clk), 101 | .rstn (rstn), 102 | .data_out (data_out[8]) 103 | ); 104 | 105 | neuron_layer2 neuron10( 106 | .weights (w2_10), 107 | .data_in (data_in), 108 | .b2 (b2[9]), 109 | .clk (clk), 110 | .rstn (rstn), 111 | .data_out (data_out[9]) 112 | ); 113 | 114 | counter #( .END_COUNTER(16'h334)) counter( 115 | .clk (clk), 116 | .rstn (rstn), 117 | .counter_out (bus_counter), 118 | .counter_donestatus (counter_donestatus) 119 | ); 120 | 121 | endmodule -------------------------------------------------------------------------------- /input_layer.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | module layer #(parameter LAYER_NEURON_WIDTH, LAYER_COUNTER_END, LAYER_BITS, B_BITS) 4 | ( 5 | input reg signed [B_BITS:0] b [0:9], 6 | input reg signed [LAYER_BITS:0] data_in [0:LAYER_NEURON_WIDTH], 7 | input reg signed [31:0] w1 [0:LAYER_NEURON_WIDTH], 8 | input reg signed [31:0] w2 [0:LAYER_NEURON_WIDTH], 9 | input reg signed [31:0] w3 [0:LAYER_NEURON_WIDTH], 10 | input reg signed [31:0] w4 [0:LAYER_NEURON_WIDTH], 11 | input reg signed [31:0] w5 [0:LAYER_NEURON_WIDTH], 12 | input reg signed [31:0] w6 [0:LAYER_NEURON_WIDTH], 13 | input reg signed [31:0] w7 [0:LAYER_NEURON_WIDTH], 14 | input reg signed [31:0] w8 [0:LAYER_NEURON_WIDTH], 15 | input reg signed [31:0] w9 [0:LAYER_NEURON_WIDTH], 16 | input reg signed [31:0] w10 [0:LAYER_NEURON_WIDTH], 17 | input clk, 18 | input rstn, 19 | input activation_function, 20 | output signed [LAYER_BITS + 8:0] data_out [0:9], 21 | output counter_donestatus 22 | ); 23 | 24 | /* 25 | always @(data_out[0]) begin 26 | $display("data_out0:%h", data_out[0]); 27 | end 28 | */ 29 | wire [31:0] bus_counter; 30 | 31 | neuron #( .NEURON_WIDTH(LAYER_NEURON_WIDTH), .NEURON_BITS(LAYER_BITS), 32 | .COUNTER_END(LAYER_COUNTER_END), .B_BITS(B_BITS)) neuron1( 33 | .weights (w1), 34 | .data_in (data_in), 35 | .b (b[0]), 36 | .clk (clk), 37 | .rstn (rstn), 38 | .data_out (data_out[0]), 39 | .counter (bus_counter), 40 | .activation_function (activation_function) 41 | ); 42 | 43 | neuron #( .NEURON_WIDTH(LAYER_NEURON_WIDTH), .NEURON_BITS(LAYER_BITS), 44 | .COUNTER_END(LAYER_COUNTER_END), .B_BITS(B_BITS)) neuron2( 45 | .weights (w2), 46 | .data_in (data_in), 47 | .b (b[1]), 48 | .clk (clk), 49 | .rstn (rstn), 50 | .data_out (data_out[1]), 51 | .counter (bus_counter), 52 | .activation_function (activation_function) 53 | ); 54 | 55 | neuron #( .NEURON_WIDTH(LAYER_NEURON_WIDTH), .NEURON_BITS(LAYER_BITS), 56 | .COUNTER_END(LAYER_COUNTER_END), .B_BITS(B_BITS)) neuron3( 57 | .weights (w3), 58 | .data_in (data_in), 59 | .b (b[2]), 60 | .clk (clk), 61 | .rstn (rstn), 62 | .data_out (data_out[2]), 63 | .counter (bus_counter), 64 | .activation_function (activation_function) 65 | ); 66 | 67 | neuron #( .NEURON_WIDTH(LAYER_NEURON_WIDTH), .NEURON_BITS(LAYER_BITS), 68 | .COUNTER_END(LAYER_COUNTER_END), .B_BITS(B_BITS)) neuron4( 69 | .weights (w4), 70 | .data_in (data_in), 71 | .b (b[3]), 72 | .clk (clk), 73 | .rstn (rstn), 74 | .data_out (data_out[3]), 75 | .counter (bus_counter), 76 | .activation_function (activation_function) 77 | ); 78 | 79 | neuron #( .NEURON_WIDTH(LAYER_NEURON_WIDTH), .NEURON_BITS(LAYER_BITS), 80 | .COUNTER_END(LAYER_COUNTER_END), .B_BITS(B_BITS)) neuron5( 81 | .weights (w5), 82 | .data_in (data_in), 83 | .b (b[4]), 84 | .clk (clk), 85 | .rstn (rstn), 86 | .data_out (data_out[4]), 87 | .counter (bus_counter), 88 | .activation_function (activation_function) 89 | ); 90 | 91 | neuron #( .NEURON_WIDTH(LAYER_NEURON_WIDTH), .NEURON_BITS(LAYER_BITS), 92 | .COUNTER_END(LAYER_COUNTER_END), .B_BITS(B_BITS)) neuron6( 93 | .weights (w6), 94 | .data_in (data_in), 95 | .b (b[5]), 96 | .clk (clk), 97 | .rstn (rstn), 98 | .data_out (data_out[5]), 99 | .counter (bus_counter), 100 | .activation_function (activation_function) 101 | ); 102 | 103 | neuron #( .NEURON_WIDTH(LAYER_NEURON_WIDTH), .NEURON_BITS(LAYER_BITS), 104 | .COUNTER_END(LAYER_COUNTER_END), .B_BITS(B_BITS)) neuron7( 105 | .weights (w7), 106 | .data_in (data_in), 107 | .b (b[6]), 108 | .clk (clk), 109 | .rstn (rstn), 110 | .data_out (data_out[6]), 111 | .counter (bus_counter), 112 | .activation_function (activation_function) 113 | ); 114 | 115 | neuron #( .NEURON_WIDTH(LAYER_NEURON_WIDTH), .NEURON_BITS(LAYER_BITS), 116 | .COUNTER_END(LAYER_COUNTER_END), .B_BITS(B_BITS)) neuron8( 117 | .weights (w8), 118 | .data_in (data_in), 119 | .b (b[7]), 120 | .clk (clk), 121 | .rstn (rstn), 122 | .data_out (data_out[7]), 123 | .counter (bus_counter), 124 | .activation_function (activation_function) 125 | ); 126 | 127 | neuron #( .NEURON_WIDTH(LAYER_NEURON_WIDTH), .NEURON_BITS(LAYER_BITS), 128 | .COUNTER_END(LAYER_COUNTER_END), .B_BITS(B_BITS)) neuron9( 129 | .weights (w9), 130 | .data_in (data_in), 131 | .b (b[8]), 132 | .clk (clk), 133 | .rstn (rstn), 134 | .data_out (data_out[8]), 135 | .counter (bus_counter), 136 | .activation_function (activation_function) 137 | ); 138 | 139 | neuron #( .NEURON_WIDTH(LAYER_NEURON_WIDTH), .NEURON_BITS(LAYER_BITS), 140 | .COUNTER_END(LAYER_COUNTER_END), .B_BITS(B_BITS)) neuron10( 141 | .weights (w10), 142 | .data_in (data_in), 143 | .b (b[9]), 144 | .clk (clk), 145 | .rstn (rstn), 146 | .data_out (data_out[9]), 147 | .counter (bus_counter), 148 | .activation_function (activation_function) 149 | ); 150 | 151 | counter #( .END_COUNTER(LAYER_COUNTER_END)) counter( 152 | .clk (clk), 153 | .rstn (rstn), 154 | .counter_out (bus_counter), 155 | .counter_donestatus (counter_donestatus) 156 | ); 157 | 158 | 159 | endmodule -------------------------------------------------------------------------------- /multiplier.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | module multiplier #(parameter BITS) 4 | ( 5 | input clk, 6 | input rstn, 7 | input reg [31:0] counter, 8 | input reg signed [31:0] w, 9 | input reg signed [BITS:0] x, 10 | output reg signed [BITS+16:0] mult_result); 11 | 12 | always @ (counter) begin 13 | //$display("counter_mult:%d and weight_mult:%d and x_mult:%d and mult_result:%d", counter, w, x, mult_result); 14 | if (! rstn) 15 | mult_result <= 0; 16 | else 17 | mult_result <= w*x; 18 | end 19 | endmodule -------------------------------------------------------------------------------- /neural_network.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | 4 | module neural_network #(parameter LAYER1_NEURON_WIDTH = 32'd823, 5 | LAYER1_COUNTER_END = 32'h00000334, 6 | LAYER1_BITS = 31, 7 | LAYER2_NEURON_WIDTH = 32'd49, 8 | LAYER2_COUNTER_END = 32'h00000028, 9 | LAYER2_BITS = LAYER1_BITS + 8 10 | ) 11 | ( 12 | //clock and reset for counter 13 | input clk, 14 | input rstn, 15 | 16 | //b-values 17 | input reg signed [31:0] b1 [0:9], 18 | input reg signed [63:0] b2 [0:9], 19 | 20 | //784 pixel input bitmap 21 | input reg signed [31:0] data_in [0:LAYER1_NEURON_WIDTH], 22 | 23 | //weight values for lines connecting to first layer of neurons 24 | input reg signed [31:0] w1_1 [0:LAYER1_NEURON_WIDTH], 25 | input reg signed [31:0] w1_2 [0:LAYER1_NEURON_WIDTH], 26 | input reg signed [31:0] w1_3 [0:LAYER1_NEURON_WIDTH], 27 | input reg signed [31:0] w1_4 [0:LAYER1_NEURON_WIDTH], 28 | input reg signed [31:0] w1_5 [0:LAYER1_NEURON_WIDTH], 29 | input reg signed [31:0] w1_6 [0:LAYER1_NEURON_WIDTH], 30 | input reg signed [31:0] w1_7 [0:LAYER1_NEURON_WIDTH], 31 | input reg signed [31:0] w1_8 [0:LAYER1_NEURON_WIDTH], 32 | input reg signed [31:0] w1_9 [0:LAYER1_NEURON_WIDTH], 33 | input reg signed [31:0] w1_10 [0:LAYER1_NEURON_WIDTH], 34 | 35 | //weight values for lines connecting to second layer of neurons 36 | input reg signed [31:0] w2_1 [0:LAYER2_NEURON_WIDTH], 37 | input reg signed [31:0] w2_2 [0:LAYER2_NEURON_WIDTH], 38 | input reg signed [31:0] w2_3 [0:LAYER2_NEURON_WIDTH], 39 | input reg signed [31:0] w2_4 [0:LAYER2_NEURON_WIDTH], 40 | input reg signed [31:0] w2_5 [0:LAYER2_NEURON_WIDTH], 41 | input reg signed [31:0] w2_6 [0:LAYER2_NEURON_WIDTH], 42 | input reg signed [31:0] w2_7 [0:LAYER2_NEURON_WIDTH], 43 | input reg signed [31:0] w2_8 [0:LAYER2_NEURON_WIDTH], 44 | input reg signed [31:0] w2_9 [0:LAYER2_NEURON_WIDTH], 45 | input reg signed [31:0] w2_10 [0:LAYER2_NEURON_WIDTH], 46 | 47 | //neural network output 48 | output signed [LAYER2_BITS + 8:0] neuralnet_out [0:9] 49 | 50 | ); 51 | 52 | wire signed [LAYER1_BITS + 8:0] bus_layer1_out [0:LAYER2_NEURON_WIDTH]; 53 | wire bus_counter_layer1_donestatus; 54 | 55 | assign bus_layer1_out[0] = 40'h00000000; 56 | assign bus_layer1_out[1] = 40'h00000000; 57 | assign bus_layer1_out[2] = 40'h00000000; 58 | assign bus_layer1_out[3] = 40'h00000000; 59 | assign bus_layer1_out[4] = 40'h00000000; 60 | assign bus_layer1_out[5] = 40'h00000000; 61 | assign bus_layer1_out[6] = 40'h00000000; 62 | assign bus_layer1_out[7] = 40'h00000000; 63 | assign bus_layer1_out[8] = 40'h00000000; 64 | assign bus_layer1_out[9] = 40'h00000000; 65 | assign bus_layer1_out[10] = 40'h00000000; 66 | assign bus_layer1_out[11] = 40'h00000000; 67 | assign bus_layer1_out[12] = 40'h00000000; 68 | assign bus_layer1_out[13] = 40'h00000000; 69 | assign bus_layer1_out[14] = 40'h00000000; 70 | assign bus_layer1_out[15] = 40'h00000000; 71 | assign bus_layer1_out[16] = 40'h00000000; 72 | assign bus_layer1_out[17] = 40'h00000000; 73 | assign bus_layer1_out[18]= 40'h00000000; 74 | assign bus_layer1_out[19] = 40'h00000000; 75 | assign bus_layer1_out[30] = 40'h00000000; 76 | assign bus_layer1_out[31] = 40'h00000000; 77 | assign bus_layer1_out[32] = 40'h00000000; 78 | assign bus_layer1_out[33] = 40'h00000000; 79 | assign bus_layer1_out[34] = 40'h00000000; 80 | assign bus_layer1_out[35] = 40'h00000000; 81 | assign bus_layer1_out[36] = 40'h00000000; 82 | assign bus_layer1_out[37] = 40'h00000000; 83 | assign bus_layer1_out[38] = 40'h00000000; 84 | assign bus_layer1_out[39] = 40'h00000000; 85 | assign bus_layer1_out[40] = 40'h00000000; 86 | assign bus_layer1_out[41] = 40'h00000000; 87 | assign bus_layer1_out[42] = 40'h00000000; 88 | assign bus_layer1_out[43] = 40'h00000000; 89 | assign bus_layer1_out[44] = 40'h00000000; 90 | assign bus_layer1_out[45] = 40'h00000000; 91 | assign bus_layer1_out[46] = 40'h00000000; 92 | assign bus_layer1_out[47] = 40'h00000000; 93 | assign bus_layer1_out[48] = 40'h00000000; 94 | assign bus_layer1_out[49] = 40'h00000000; 95 | 96 | 97 | /* 98 | always @(clk) begin 99 | $display("%h", bus_layer1_out[29]); 100 | end 101 | */ 102 | 103 | layer #( .LAYER_NEURON_WIDTH(LAYER1_NEURON_WIDTH), 104 | .LAYER_COUNTER_END(LAYER1_COUNTER_END), 105 | .LAYER_BITS(LAYER1_BITS), 106 | .B_BITS(31)) layer1( 107 | .b (b1), 108 | .w1 (w1_1), 109 | .w2 (w1_2), 110 | .w3 (w1_3), 111 | .w4 (w1_4), 112 | .w5 (w1_5), 113 | .w6 (w1_6), 114 | .w7 (w1_7), 115 | .w8 (w1_8), 116 | .w9 (w1_9), 117 | .w10 (w1_10), 118 | .data_in (data_in), 119 | .data_out (bus_layer1_out[20:29]), //bus_layer1_out[20:29] 120 | .clk (clk), 121 | .rstn (rstn), 122 | .counter_donestatus (bus_counter_layer1_donestatus), 123 | .activation_function(1'b1) 124 | ); 125 | 126 | layer #( .LAYER_NEURON_WIDTH(LAYER2_NEURON_WIDTH), 127 | .LAYER_COUNTER_END(LAYER2_COUNTER_END), 128 | .LAYER_BITS(LAYER2_BITS), 129 | .B_BITS(63) 130 | )//change counter width 131 | layer2( 132 | .b (b2), 133 | .w1 (w2_1), 134 | .w2 (w2_2), 135 | .w3 (w2_3), 136 | .w4 (w2_4), 137 | .w5 (w2_5), 138 | .w6 (w2_6), 139 | .w7 (w2_7), 140 | .w8 (w2_8), 141 | .w9 (w2_9), 142 | .w10 (w2_10), 143 | .data_in (bus_layer1_out), 144 | .data_out (neuralnet_out), 145 | .clk (clk), 146 | .rstn (bus_counter_layer1_donestatus), //done status of counter 1 triggers counter 2 to start 147 | .counter_donestatus (), 148 | .activation_function(1'b0) 149 | ); 150 | 151 | 152 | 153 | endmodule 154 | -------------------------------------------------------------------------------- /neuron_hiddenlayer.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | 4 | module neuron_layer2( 5 | input reg signed [15:0] weights [0:9], 6 | input reg signed [15:0] data_in [0:823], 7 | input reg signed [15:0] b2, 8 | input clk, 9 | input rstn, 10 | output reg signed [31:0] data_out); 11 | 12 | wire [15:0] bus_counter; 13 | wire signed [15:0] bus_w; 14 | wire signed [15:0] bus_x; 15 | wire signed [31:0] bus_mult_result; 16 | wire signed [31:0] bus_adder; 17 | 18 | register RG_W( 19 | .data (data_w), 20 | .index (bus_counter), 21 | .value (bus_w) 22 | ); 23 | 24 | register RG_X( 25 | .data (data_x), 26 | .index (bus_counter), 27 | .value (bus_x) 28 | ); 29 | 30 | multiplier MP1( 31 | .clk (clk), 32 | .rstn (rstn), 33 | .w (bus_w), 34 | .x (bus_x), 35 | .mult_result (bus_mult_result) 36 | ); 37 | 38 | adder AD1( 39 | .clk (clk), 40 | .rstn (rstn), 41 | .value_in (bus_mult_result), 42 | .value_out (bus_adder)); 43 | 44 | ReLu activation_and_add_b( 45 | .clk (clk), 46 | .mult_sum_in (bus_adder), 47 | .b (b), 48 | .neuron_out (neuron_out) 49 | ); 50 | 51 | 52 | 53 | endmodule 54 | -------------------------------------------------------------------------------- /neuron_inputlayer.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | 4 | module neuron #(parameter NEURON_WIDTH, NEURON_BITS, COUNTER_END, B_BITS) 5 | ( 6 | input clk, 7 | input rstn, 8 | input activation_function, 9 | input reg signed [31:0] weights [0:NEURON_WIDTH], 10 | input reg signed [NEURON_BITS:0] data_in [0:NEURON_WIDTH], 11 | input reg signed [B_BITS:0] b, 12 | input reg [31:0] counter, 13 | output reg signed [NEURON_BITS + 8:0] data_out 14 | ); 15 | 16 | wire signed [31:0] bus_w; 17 | wire signed [NEURON_BITS:0] bus_data; 18 | wire signed [NEURON_BITS+16:0] bus_mult_result; 19 | wire signed [NEURON_BITS+24:0] bus_adder; 20 | wire enable_second_layer; 21 | 22 | register #( .WIDTH(NEURON_WIDTH), .BITS(31)) RG_W( 23 | .data (weights), 24 | .counter (counter), 25 | .value (bus_w) 26 | ); 27 | 28 | register #( .WIDTH(NEURON_WIDTH), .BITS(NEURON_BITS)) RG_X( 29 | .data (data_in), 30 | .counter (counter), 31 | .value (bus_data) 32 | ); 33 | 34 | multiplier #(.BITS(NEURON_BITS)) MP1 35 | ( 36 | .clk (clk), 37 | .rstn (rstn), 38 | .counter (counter), 39 | .w (bus_w), 40 | .x (bus_data), 41 | .mult_result (bus_mult_result) 42 | ); 43 | 44 | adder #(.BITS(NEURON_BITS)) AD1( 45 | .clk (clk), 46 | .rstn (rstn), 47 | .counter (counter), 48 | .value_in (bus_mult_result), 49 | .value_out (bus_adder)); 50 | 51 | ReLu #(.BITS(NEURON_BITS), .COUNTER_END(COUNTER_END), .B_BITS(B_BITS)) activation_and_add_b( 52 | .clk (clk), 53 | .mult_sum_in (bus_adder), 54 | .counter (counter), 55 | .activation_function(activation_function), 56 | .b (b), 57 | .neuron_out (data_out) 58 | ); 59 | 60 | 61 | 62 | endmodule -------------------------------------------------------------------------------- /register.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | 3 | module register #(parameter WIDTH, BITS) 4 | ( 5 | input reg signed [BITS:0] data [0:WIDTH], 6 | input reg [31:0] counter, 7 | output reg signed [BITS:0] value 8 | ); 9 | 10 | always @(counter) begin 11 | //$display("counter_reg:%h and value_reg:%h", counter, value); 12 | value = data[counter]; 13 | //always @(counter) begin 14 | //$display("%d", data[index]); 15 | //end 16 | end 17 | endmodule 18 | -------------------------------------------------------------------------------- /tb_neuralnetwork.sv: -------------------------------------------------------------------------------- 1 | `timescale 1ns / 1ps 2 | module tb_neuralnetwork #(parameter LAYER1_NEURON_WIDTH = 32'd823, 3 | LAYER1_COUNTER_END = 32'h00000334, 4 | LAYER1_BITS = 31, 5 | LAYER2_NEURON_WIDTH = 32'd49, 6 | LAYER2_COUNTER_END = 32'h00000028, 7 | LAYER2_BITS = LAYER1_BITS + 8 8 | ) 9 | 10 | ; 11 | //weights 1 12 | reg signed [31:0] w1_1 [0:LAYER1_NEURON_WIDTH]; 13 | reg signed [31:0] w1_2 [0:LAYER1_NEURON_WIDTH]; 14 | reg signed [31:0] w1_3 [0:LAYER1_NEURON_WIDTH]; 15 | reg signed [31:0] w1_4 [0:LAYER1_NEURON_WIDTH]; 16 | reg signed [31:0] w1_5 [0:LAYER1_NEURON_WIDTH]; 17 | reg signed [31:0] w1_6 [0:LAYER1_NEURON_WIDTH]; 18 | reg signed [31:0] w1_7 [0:LAYER1_NEURON_WIDTH]; 19 | reg signed [31:0] w1_8 [0:LAYER1_NEURON_WIDTH]; 20 | reg signed [31:0] w1_9 [0:LAYER1_NEURON_WIDTH]; 21 | reg signed [31:0] w1_10 [0:LAYER1_NEURON_WIDTH]; 22 | 23 | //image data 24 | reg signed [31:0] data_in [0:LAYER1_NEURON_WIDTH]; 25 | 26 | //weights 2 27 | reg signed [31:0] w2_1 [0:LAYER2_NEURON_WIDTH]; 28 | reg signed [31:0] w2_2 [0:LAYER2_NEURON_WIDTH]; 29 | reg signed [31:0] w2_3 [0:LAYER2_NEURON_WIDTH]; 30 | reg signed [31:0] w2_4 [0:LAYER2_NEURON_WIDTH]; 31 | reg signed [31:0] w2_5 [0:LAYER2_NEURON_WIDTH]; 32 | reg signed [31:0] w2_6 [0:LAYER2_NEURON_WIDTH]; 33 | reg signed [31:0] w2_7 [0:LAYER2_NEURON_WIDTH]; 34 | reg signed [31:0] w2_8 [0:LAYER2_NEURON_WIDTH]; 35 | reg signed [31:0] w2_9 [0:LAYER2_NEURON_WIDTH]; 36 | reg signed [31:0] w2_10 [0:LAYER2_NEURON_WIDTH]; 37 | 38 | //b-values 39 | reg signed [31:0] b1 [0:9]; 40 | reg signed [63:0] b2 [0:9]; 41 | 42 | reg clk; 43 | reg rstn; 44 | 45 | wire signed [LAYER2_BITS+8:0] neuralnet_out [0:9]; 46 | 47 | neural_network #( .LAYER1_NEURON_WIDTH(LAYER1_NEURON_WIDTH), 48 | .LAYER1_COUNTER_END(LAYER1_COUNTER_END), 49 | .LAYER1_BITS(LAYER1_BITS), 50 | .LAYER2_NEURON_WIDTH(LAYER2_NEURON_WIDTH), 51 | .LAYER2_COUNTER_END(LAYER2_COUNTER_END), 52 | .LAYER2_BITS(LAYER2_BITS)) neural_network 53 | ( 54 | .clk (clk), 55 | .rstn (rstn), 56 | .b1 (b1), 57 | .b2 (b2), 58 | .data_in (data_in), 59 | .w1_1 (w1_1), 60 | .w1_2 (w1_2), 61 | .w1_3 (w1_3), 62 | .w1_4 (w1_4), 63 | .w1_5 (w1_5), 64 | .w1_6 (w1_6), 65 | .w1_7 (w1_7), 66 | .w1_8 (w1_8), 67 | .w1_9 (w1_9), 68 | .w1_10 (w1_10), 69 | .w2_1 (w2_1), 70 | .w2_2 (w2_2), 71 | .w2_3 (w2_3), 72 | .w2_4 (w2_4), 73 | .w2_5 (w2_5), 74 | .w2_6 (w2_6), 75 | .w2_7 (w2_7), 76 | .w2_8 (w2_8), 77 | .w2_9 (w2_9), 78 | .w2_10 (w2_10), 79 | .neuralnet_out (neuralnet_out) 80 | ); 81 | 82 | always #5 clk = ~clk; 83 | 84 | //always #10 $display("%h", layer_out[0]); 85 | 86 | initial begin 87 | 88 | $readmemh("w1_1.mem", w1_1); 89 | $readmemh("w1_2.mem", w1_2); 90 | $readmemh("w1_3.mem", w1_3); 91 | $readmemh("w1_4.mem", w1_4); 92 | $readmemh("w1_5.mem", w1_5); 93 | $readmemh("w1_6.mem", w1_6); 94 | $readmemh("w1_7.mem", w1_7); 95 | $readmemh("w1_8.mem", w1_8); 96 | $readmemh("w1_9.mem", w1_9); 97 | $readmemh("w1_10.mem", w1_10); 98 | 99 | $readmemh("w2_1.mem", w2_1); 100 | $readmemh("w2_2.mem", w2_2); 101 | $readmemh("w2_3.mem", w2_3); 102 | $readmemh("w2_4.mem", w2_4); 103 | $readmemh("w2_5.mem", w2_5); 104 | $readmemh("w2_6.mem", w2_6); 105 | $readmemh("w2_7.mem", w2_7); 106 | $readmemh("w2_8.mem", w2_8); 107 | $readmemh("w2_9.mem", w2_9); 108 | $readmemh("w2_10.mem", w2_10); 109 | 110 | $readmemh("data_in.mem", data_in); 111 | 112 | $readmemh("b1.mem", b1); 113 | $readmemh("b2.mem", b2); 114 | $dumpfile("wave.vcd"); 115 | $dumpvars(); 116 | 117 | clk <= 0; 118 | rstn <= 0; 119 | //b <= 16'hfe3d; 120 | 121 | #20 rstn <= 1; 122 | //#80 rstn <= 0; 123 | //#50 rstn <= 1; 124 | #20000; 125 | 126 | #20 $finish; 127 | 128 | end 129 | 130 | endmodule -------------------------------------------------------------------------------- /w1_1.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | 00002bbf 22 | ffffe5c9 23 | 00003c46 24 | 00003a71 25 | 00003dcc 26 | 000021a9 27 | ffffe732 28 | ffffe5b8 29 | 0000276b 30 | 0000157e 31 | 00000bd2 32 | ffffdfc5 33 | fffffdce 34 | ffffdfb1 35 | 0000293d 36 | fffffe2c 37 | fffff2b4 38 | 00001ec6 39 | ffffe23e 40 | 00001180 41 | fffff9f9 42 | fffff67a 43 | 000002a0 44 | ffffedb9 45 | 00001eab 46 | fffff35b 47 | 00003c2c 48 | ffffe8d9 49 | 00003033 50 | ffffdff8 51 | ffffeb86 52 | 00000521 53 | ffffdd9f 54 | ffffe509 55 | ffffc582 56 | ffffdddd 57 | 000020de 58 | 00003c91 59 | fffffd7c 60 | ffffdcb2 61 | fffffd3a 62 | fffff8db 63 | fffff311 64 | ffffd7a8 65 | 00001d20 66 | 00003ba7 67 | 00002f60 68 | 00000b77 69 | 00002638 70 | 000033ac 71 | ffffd889 72 | 0000034d 73 | ffffcb7c 74 | 00003460 75 | 000020ac 76 | 00002aaa 77 | ffffdfee 78 | ffffd07b 79 | ffffff47 80 | ffffeed7 81 | 00003063 82 | ffffe737 83 | ffffcfbe 84 | 00000cbc 85 | 00002c79 86 | 00003c80 87 | ffffcf38 88 | ffffc535 89 | 00003df8 90 | ffffc746 91 | ffffde03 92 | 00003201 93 | fffff3bb 94 | 000003f5 95 | 00001d12 96 | ffffc3f1 97 | ffffdbe9 98 | ffffd526 99 | 00001078 100 | ffffd872 101 | 00003804 102 | ffffd101 103 | ffffdd01 104 | ffffe273 105 | 00002d8e 106 | 00002fea 107 | 000005cf 108 | ffffda30 109 | 00000475 110 | ffffff40 111 | 00001b4a 112 | 0000261e 113 | 00002593 114 | ffffdc5d 115 | 00001cba 116 | ffffee25 117 | fffff606 118 | ffffcc3b 119 | 00000758 120 | ffffed48 121 | 00000ecc 122 | 000022d3 123 | 00002f98 124 | 00003550 125 | 00000728 126 | 00001e1f 127 | 000019df 128 | 000036a6 129 | 00002c10 130 | 00000ea6 131 | 00003827 132 | 0000261f 133 | ffffdbc3 134 | 0000395b 135 | 000018d1 136 | 00002361 137 | ffffc524 138 | fffff350 139 | ffffef27 140 | ffffc4f6 141 | fffffd94 142 | ffffff26 143 | 000027ef 144 | ffffe3da 145 | fffff126 146 | ffffba3a 147 | ffffda12 148 | ffffe4d2 149 | ffffe134 150 | ffffff0a 151 | ffffd7e6 152 | ffffef4d 153 | ffffdf82 154 | ffffff82 155 | 0000297a 156 | 00002fd2 157 | 0000341d 158 | 00002c99 159 | 000016ee 160 | ffffedad 161 | 00000d02 162 | 000017f1 163 | ffffc74e 164 | 000039bb 165 | ffffcf44 166 | ffffcc0a 167 | ffffe43c 168 | ffffdfcd 169 | fffff4f6 170 | 00002bbe 171 | 0000062e 172 | 000030f8 173 | 000038e1 174 | ffffe2af 175 | ffffe0f2 176 | ffffd37d 177 | 00001778 178 | 00001c21 179 | ffffcc0d 180 | 00003d5e 181 | 00003609 182 | 00002e4d 183 | 0000285b 184 | fffff5b3 185 | 00000ebb 186 | ffffffb7 187 | 00002934 188 | 00002a1d 189 | 00003ac5 190 | 000012b7 191 | 00001f5e 192 | fffff235 193 | ffffc7f1 194 | 00001146 195 | ffffe861 196 | 0000287d 197 | 00000b22 198 | ffffe27a 199 | 000014e9 200 | ffffdc72 201 | 00001b73 202 | ffffd4ea 203 | 0000298a 204 | 00000240 205 | 00001e8a 206 | 0000358f 207 | ffffdafd 208 | ffffeb15 209 | ffffdc00 210 | ffffde6a 211 | 00002ddd 212 | fffff5a4 213 | ffffee9c 214 | fffffe4b 215 | 00003057 216 | 00000d16 217 | ffffc6ec 218 | ffffce34 219 | ffffdec2 220 | ffffc8a4 221 | ffffeff4 222 | 0000037f 223 | ffffd03b 224 | 00001b84 225 | ffffd522 226 | 0000275e 227 | fffff43f 228 | 00002ff1 229 | ffffe6e9 230 | fffffad0 231 | 0000001b 232 | 00000160 233 | ffffd2bb 234 | ffffd510 235 | ffffe50e 236 | 00003723 237 | 000012b4 238 | 00000d34 239 | 0000441b 240 | 00003ec9 241 | fffffc47 242 | ffffd4f9 243 | 00002ef3 244 | fffff5d7 245 | fffff9b2 246 | ffffcb75 247 | 00002eb5 248 | ffffd92b 249 | fffffef0 250 | ffffc48d 251 | 00000c8f 252 | 0000363b 253 | 00000d17 254 | ffffdc0d 255 | ffffdb0b 256 | 00000c0e 257 | 00000e51 258 | ffffec06 259 | 000021e0 260 | 000026ea 261 | fffff4f4 262 | 00000347 263 | ffffdd79 264 | fffff60d 265 | ffffe94b 266 | ffffee57 267 | 00000d93 268 | ffffe4df 269 | 000043c1 270 | fffff0c5 271 | 00001a94 272 | 00000bbe 273 | ffffd5ed 274 | ffffe665 275 | ffffc357 276 | 00000445 277 | ffffd0aa 278 | 00000a31 279 | 00002c45 280 | 000017f0 281 | 00000095 282 | ffffed3e 283 | 000044ef 284 | 00003838 285 | 0000066a 286 | 0000120a 287 | ffffcb8a 288 | ffffb342 289 | ffffd2ca 290 | 00001512 291 | 00000f27 292 | ffffe632 293 | 00000a62 294 | 0000052c 295 | 00003954 296 | 000016cc 297 | fffff561 298 | 000001ad 299 | 00001831 300 | fffffaf5 301 | 00000f31 302 | ffffd475 303 | ffffffaa 304 | 000025fb 305 | 0000140a 306 | ffffdb69 307 | ffffc7bc 308 | fffffcc3 309 | ffffef7d 310 | ffffd847 311 | fffff53b 312 | 00000d7c 313 | ffffcc4c 314 | 00002123 315 | 000014c6 316 | fffff672 317 | ffffdfdf 318 | 000024ed 319 | ffffc7d1 320 | 000027a0 321 | ffffcbb5 322 | ffffda01 323 | ffffd3b8 324 | 0000066e 325 | 00002c2d 326 | fffffa42 327 | 0000065f 328 | fffff11a 329 | ffffe5e8 330 | ffffe0d9 331 | ffffec8a 332 | 00002377 333 | 00001c98 334 | ffffff42 335 | ffffdb2b 336 | 00001193 337 | 00003cc0 338 | 00000277 339 | 000015cb 340 | ffffd29f 341 | ffffedcb 342 | 0000267b 343 | ffffcfa0 344 | 00001a5c 345 | 00004b66 346 | fffff193 347 | 00000e4d 348 | 0000136e 349 | ffffe8c5 350 | ffffd7b3 351 | fffff526 352 | ffffe94c 353 | 0000300e 354 | ffffdbc4 355 | ffffe8b9 356 | fffff487 357 | ffffda69 358 | ffffcd78 359 | ffffe137 360 | ffffee24 361 | 000018a1 362 | 0000068a 363 | 00001bdb 364 | 00002a82 365 | ffffe71f 366 | 00001627 367 | 000042a6 368 | 00004a67 369 | fffff150 370 | fffff9bb 371 | 00003f87 372 | 000036af 373 | 00004a31 374 | 0000570a 375 | 00002211 376 | 00003234 377 | ffffd6ce 378 | 00003bad 379 | 000003f9 380 | ffffff35 381 | 0000331b 382 | 000017a6 383 | 000010a8 384 | ffffd33e 385 | 00001840 386 | ffffd1ab 387 | 00002173 388 | 00001b5f 389 | ffffc6ae 390 | 00001d31 391 | ffffd0b6 392 | ffffee9b 393 | 0000045e 394 | ffffe8ed 395 | 00003692 396 | 00001cbc 397 | 00000b2e 398 | 00004bb5 399 | 00002f9a 400 | 0000447d 401 | 00004ea1 402 | 00004469 403 | ffffd348 404 | 0000215d 405 | ffffdbc3 406 | ffffdd66 407 | fffff143 408 | fffff093 409 | 000026d1 410 | fffff718 411 | 000037aa 412 | ffffd7ec 413 | ffffd26a 414 | ffffeb86 415 | 000033cf 416 | fffffa99 417 | 00000e1e 418 | 000013be 419 | 00000fb9 420 | 000016d0 421 | 00000bfc 422 | 00002995 423 | fffff118 424 | 00002f77 425 | 000050e1 426 | 00004c18 427 | 00003aed 428 | 00005457 429 | 00003c58 430 | 00003dc3 431 | 00003da1 432 | 0000244d 433 | 000018a4 434 | 00002f0e 435 | 00000471 436 | ffffdbff 437 | 000001bc 438 | fffffc5b 439 | ffffd7dc 440 | ffffe497 441 | fffff941 442 | ffffec45 443 | 00003d46 444 | 00000ae2 445 | ffffd08b 446 | fffff8e4 447 | ffffd139 448 | 00001486 449 | ffffda2f 450 | ffffefde 451 | fffff833 452 | fffff90a 453 | 00001c39 454 | 00002737 455 | fffffb01 456 | 00003356 457 | 00003099 458 | 00003f77 459 | 000028e9 460 | fffff03c 461 | ffffe0b3 462 | 00003439 463 | 00000f1e 464 | 000022f7 465 | 00002f18 466 | ffffcc4e 467 | ffffd594 468 | 00000629 469 | ffffc6ba 470 | 00003dbc 471 | 00002a6e 472 | ffffd4f0 473 | ffffc779 474 | 000008a0 475 | 00001896 476 | ffffd547 477 | 00003c15 478 | ffffe4be 479 | 000022d7 480 | ffffee85 481 | 00004fd7 482 | fffff978 483 | 00002e5a 484 | 00002229 485 | 0000227f 486 | 000038fc 487 | ffffc9eb 488 | fffff8e3 489 | 00003c01 490 | 000029b0 491 | ffffc412 492 | 0000236f 493 | ffffd4dc 494 | 00001d3d 495 | ffffe93f 496 | ffffdf61 497 | 00001cdc 498 | fffff8de 499 | ffffe868 500 | 00003956 501 | 00002f3e 502 | 00002d9d 503 | ffffc3d9 504 | ffffdf47 505 | ffffcfcd 506 | 00002939 507 | 00001e26 508 | fffff240 509 | 00001e92 510 | 00003c7e 511 | 00005630 512 | fffff487 513 | 00001cd4 514 | 000031bf 515 | 000012af 516 | ffffd03e 517 | ffffe372 518 | 0000163f 519 | 0000057c 520 | ffffca02 521 | fffff5f4 522 | 00002ffd 523 | ffffd4b7 524 | 00001bd3 525 | 0000207a 526 | ffffcc61 527 | 00003e48 528 | ffffc745 529 | 00001c40 530 | ffffbfe2 531 | ffffe8bf 532 | 00002d45 533 | fffff4a6 534 | fffffae1 535 | 000023f4 536 | 00002f18 537 | 00000d51 538 | 000002d5 539 | ffffdfe4 540 | 00001db0 541 | 0000060b 542 | 00000c1f 543 | 00001488 544 | ffffeb13 545 | fffffa75 546 | 00002466 547 | 0000052c 548 | ffffcb95 549 | 00000083 550 | 00001a4c 551 | ffffd7b9 552 | ffffd1de 553 | 00000031 554 | fffffaf6 555 | ffffecdc 556 | 00000c08 557 | ffffc5e5 558 | 00003486 559 | ffffda3d 560 | ffffb92f 561 | ffffbb15 562 | 00000221 563 | ffffdc94 564 | 00002469 565 | ffffbe8c 566 | 000002ed 567 | ffffc244 568 | 0000060d 569 | 00000efc 570 | ffffd2e6 571 | 000013dc 572 | 00001b80 573 | fffffd17 574 | 00000b0f 575 | 00002771 576 | ffffe549 577 | 00001df6 578 | ffffdf5b 579 | 0000279c 580 | ffffcaa3 581 | fffff65a 582 | fffff903 583 | ffffe31c 584 | ffffc612 585 | 00002ddd 586 | fffffb9c 587 | ffffdbc8 588 | fffff06a 589 | fffff8d7 590 | 000022af 591 | ffffbd68 592 | ffffd65f 593 | ffffb75e 594 | ffffeb1a 595 | 00001d76 596 | 00000f64 597 | 00001da4 598 | 00000dd7 599 | ffffeca1 600 | fffffb58 601 | 00001d6c 602 | 0000137c 603 | fffff919 604 | ffffcbf1 605 | ffffd76a 606 | fffff127 607 | ffffc995 608 | ffffe55c 609 | 00001074 610 | 00002842 611 | 000034b3 612 | ffffe0e1 613 | fffffa84 614 | ffffe767 615 | ffffbfb3 616 | fffffe0f 617 | ffffdbba 618 | ffffdd75 619 | fffff759 620 | ffffbf54 621 | 000030fc 622 | ffffcba3 623 | 00001eeb 624 | 0000123b 625 | ffffcce6 626 | 0000372f 627 | 0000038f 628 | ffffe67b 629 | 00002817 630 | 00002505 631 | fffff931 632 | ffffd4b5 633 | 00001ae2 634 | ffffc029 635 | fffff936 636 | 000006f4 637 | ffffc7e5 638 | ffffc9a7 639 | fffff611 640 | ffffc2fe 641 | 00000aee 642 | ffffe341 643 | 0000215b 644 | ffffca2e 645 | 00000db3 646 | 00002b1f 647 | ffffffd3 648 | 0000454e 649 | ffffe15c 650 | 000032cd 651 | ffffd015 652 | 0000198b 653 | 000022ed 654 | 00000670 655 | 000015d1 656 | 00000295 657 | 00001932 658 | 000004c2 659 | 0000198c 660 | ffffeee2 661 | ffffc4b6 662 | 00000f47 663 | 0000232d 664 | 00001f57 665 | ffffc0cd 666 | 00001486 667 | 000022c2 668 | fffffce4 669 | ffffe795 670 | 00003906 671 | 00000b60 672 | ffffc4dd 673 | 00001136 674 | 00002226 675 | ffffdba8 676 | 00002d90 677 | ffffe918 678 | 00000f21 679 | fffff7e8 680 | ffffe619 681 | 00003bac 682 | ffffd36a 683 | 00003a68 684 | 00001041 685 | ffffcdfd 686 | 00000446 687 | fffff318 688 | 000029ce 689 | 000039ad 690 | 00003790 691 | 00002068 692 | ffffd6ea 693 | ffffc2b0 694 | ffffff6f 695 | ffffe9ee 696 | 00002003 697 | 00000c88 698 | 00001ecf 699 | 0000308f 700 | 000018b9 701 | 000019f0 702 | 00001b8c 703 | 000029a6 704 | ffffe5a4 705 | 00004b29 706 | ffffd6cb 707 | ffffec0e 708 | ffffdf3f 709 | ffffe61f 710 | 0000021e 711 | 00002334 712 | 000012f1 713 | 0000252a 714 | 00003e6b 715 | ffffc784 716 | 00002482 717 | 000014e1 718 | 00003369 719 | ffffdd93 720 | 000027f6 721 | 000035a1 722 | 0000116d 723 | 00000a54 724 | 00002f4a 725 | fffff306 726 | ffffd89d 727 | 000019bf 728 | ffffe39e 729 | fffff03a 730 | ffffef57 731 | fffffd82 732 | ffffebff 733 | fffff0cd 734 | 00002523 735 | 00003c09 736 | ffffdfbf 737 | 00003fa6 738 | fffff0f6 739 | 00002d3e 740 | ffffe06b 741 | fffff639 742 | ffffe4e5 743 | 000027c5 744 | ffffc1d7 745 | ffffe548 746 | 00003626 747 | fffffb2d 748 | ffffe248 749 | 0000200e 750 | 00002e24 751 | 00003172 752 | 00002688 753 | ffffe37a 754 | ffffd60c 755 | ffffddbf 756 | 000015e1 757 | 000028d2 758 | ffffe6fc 759 | ffffdcc6 760 | fffffc07 761 | 00002416 762 | ffffce39 763 | fffff047 764 | ffffe13b 765 | ffffd809 766 | 00001b26 767 | 0000335b 768 | fffff7dd 769 | 00000675 770 | 00001cff 771 | 000024c8 772 | ffffeab4 773 | 00001b96 774 | ffffc9c5 775 | fffffdfc 776 | ffffeba2 777 | 000027bb 778 | 00003a1c 779 | 00000002 780 | 00001db4 781 | ffffc325 782 | ffffd7a0 783 | 00001231 784 | ffffc3c4 785 | 0000053b 786 | 00003e24 787 | fffff4f7 788 | 00002b8f 789 | 00002388 790 | ffffd951 791 | 000036de 792 | ffffee47 793 | fffff5de 794 | ffffffc7 795 | ffffd21e 796 | ffffdcb2 797 | 000010f0 798 | 0000023a 799 | ffffe6b2 800 | ffffdf3b 801 | 00002e0e 802 | 00003f61 803 | 000013f6 804 | ffffe6eb 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /w1_10.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | 000026fe 22 | 00003bed 23 | fffff9cd 24 | ffffeeaf 25 | ffffea4b 26 | fffffa14 27 | ffffd0f4 28 | ffffd89d 29 | ffffe278 30 | 00002ab0 31 | fffff83c 32 | fffff172 33 | ffffdb94 34 | ffffeeeb 35 | ffffd59b 36 | 0000056a 37 | 00001996 38 | ffffc7a4 39 | fffff989 40 | 00000c31 41 | 000009aa 42 | ffffc121 43 | fffff9b1 44 | 00003914 45 | 00001393 46 | ffffc7b7 47 | 000013c8 48 | 00002882 49 | 00000716 50 | ffffd69d 51 | 0000332a 52 | 0000194f 53 | 000036d2 54 | ffffde46 55 | 00000b1e 56 | 00003195 57 | ffffe3ac 58 | 00002bda 59 | ffffcdae 60 | ffffc280 61 | 00000d3c 62 | fffff4e4 63 | ffffd00a 64 | ffffd153 65 | 000000f4 66 | 000018aa 67 | ffffd23d 68 | 000021e0 69 | ffffd0ac 70 | fffffb9d 71 | 00001ad6 72 | ffffe743 73 | 000030a2 74 | 00000b53 75 | ffffeca5 76 | 00001a93 77 | 00002870 78 | ffffe436 79 | 00003051 80 | fffffa60 81 | 000012a2 82 | 00002ca6 83 | fffff08b 84 | fffffb49 85 | ffffd448 86 | 00003617 87 | 00001d53 88 | fffff3b7 89 | ffffda5d 90 | 000039c3 91 | 00003505 92 | ffffedf5 93 | fffff332 94 | fffffff4 95 | ffffc6e4 96 | ffffeca5 97 | ffffff7b 98 | ffffc089 99 | ffffd32a 100 | 000007ca 101 | ffffeca7 102 | ffffefff 103 | 00003064 104 | ffffec78 105 | ffffce29 106 | 00002183 107 | ffffde95 108 | ffffd427 109 | ffffc0ad 110 | ffffe408 111 | 00000d0a 112 | 00000f47 113 | ffffd38d 114 | 000002ab 115 | 00003053 116 | 000033d0 117 | fffffe98 118 | 00000d12 119 | ffffd371 120 | 000029e9 121 | 00001812 122 | 0000323e 123 | ffffe705 124 | ffffe0c9 125 | 000007f0 126 | ffffc3cf 127 | ffffed34 128 | fffffd04 129 | ffffe4c6 130 | 00001d41 131 | ffffd1bf 132 | 000025c9 133 | fffff23f 134 | 0000210f 135 | 00003230 136 | 000038ef 137 | fffff057 138 | fffff96c 139 | fffff1ca 140 | ffffd623 141 | ffffff5f 142 | 000015c3 143 | 000005a3 144 | ffffc24b 145 | ffffdabe 146 | 00000e47 147 | ffffdc85 148 | ffffe4a8 149 | 00003adc 150 | fffff343 151 | ffffc4e5 152 | ffffe8c1 153 | ffffd0f4 154 | ffffcc35 155 | ffffc0a5 156 | fffff518 157 | ffffc4af 158 | 0000023f 159 | 00001def 160 | ffffe6d0 161 | 00000941 162 | 00002a37 163 | 00000974 164 | ffffdcf6 165 | 00003885 166 | 0000114f 167 | ffffc677 168 | ffffe173 169 | fffff887 170 | fffffbde 171 | 00001b4b 172 | 000011b6 173 | 000016ce 174 | 000017da 175 | ffffd273 176 | 00001c5b 177 | 000027cc 178 | ffffdbac 179 | ffffd288 180 | 000039e0 181 | ffffef0c 182 | 0000035d 183 | ffffc350 184 | ffffc5ad 185 | 00003d64 186 | 00000344 187 | 00002d83 188 | 00002d25 189 | ffffeba6 190 | 00002d16 191 | 000035c9 192 | 00002940 193 | ffffe399 194 | ffffd0ed 195 | 00003660 196 | 00002e36 197 | ffffd953 198 | fffff000 199 | ffffff9b 200 | ffffd7dc 201 | 0000001c 202 | ffffceee 203 | 000034b6 204 | 00001cb1 205 | ffffd4a1 206 | fffff452 207 | ffffe9fa 208 | ffffca27 209 | ffffdfbf 210 | 000004ad 211 | ffffff2d 212 | fffff6fb 213 | 000023cb 214 | ffffee52 215 | 0000249c 216 | fffff307 217 | fffff4b2 218 | ffffd485 219 | ffffd0c5 220 | 000031b4 221 | 000019c4 222 | 00002572 223 | fffffde3 224 | 000026f7 225 | 00001fb5 226 | ffffe08e 227 | fffff199 228 | fffffbbb 229 | ffffc1bb 230 | 000012d5 231 | ffffc20a 232 | 000027ca 233 | 0000285e 234 | ffffcf45 235 | ffffd601 236 | ffffca79 237 | 000017fd 238 | ffffe291 239 | 000027f9 240 | ffffdcd6 241 | 00001adb 242 | ffffef36 243 | ffffce1a 244 | 000021b8 245 | fffff94d 246 | 000006b0 247 | 000038e8 248 | 00002f6c 249 | ffffcca4 250 | ffffee11 251 | 00003085 252 | ffffdcb7 253 | 00000e71 254 | ffffc9a2 255 | 00001cf7 256 | ffffe576 257 | ffffdd44 258 | 00002cf1 259 | 00001bb4 260 | 00002613 261 | ffffbc70 262 | ffffda47 263 | 00000463 264 | ffffde00 265 | fffffd75 266 | fffff623 267 | 00003086 268 | ffffd252 269 | 000007c1 270 | ffffd00b 271 | 000003ff 272 | 00003be5 273 | ffffea64 274 | ffffd917 275 | ffffd6fe 276 | ffffd950 277 | 000002e9 278 | ffffe071 279 | ffffc85d 280 | 0000120c 281 | ffffcbe5 282 | 00003e26 283 | 00003ee3 284 | ffffef46 285 | 0000240f 286 | ffffc4b6 287 | 00002641 288 | ffffe554 289 | ffffee29 290 | fffff7d3 291 | 00002d17 292 | ffffc1f4 293 | fffffd5f 294 | ffffcb05 295 | fffff23e 296 | 00002980 297 | ffffd533 298 | 000009c7 299 | ffffcfd2 300 | ffffc7c5 301 | 00000921 302 | 000035e8 303 | ffffe8d1 304 | fffffd55 305 | fffff882 306 | ffffdf13 307 | ffffd3a4 308 | ffffefd9 309 | ffffdb1a 310 | ffffc083 311 | ffffda81 312 | ffffe979 313 | fffffa1f 314 | ffffd170 315 | ffffe40b 316 | 00002bff 317 | fffff52c 318 | 00002aba 319 | 00000385 320 | 000008f8 321 | 00001c88 322 | ffffe6d3 323 | ffffffd8 324 | ffffe6f0 325 | ffffd0aa 326 | ffffda3f 327 | fffff039 328 | ffffebac 329 | ffffcb4d 330 | 0000374a 331 | ffffe4ed 332 | 00000b29 333 | ffffc552 334 | 0000291f 335 | ffffc322 336 | 00003c13 337 | ffffcc2a 338 | 00000292 339 | fffff808 340 | 00003b6f 341 | 00000f25 342 | ffffd607 343 | ffffd38a 344 | 00002920 345 | ffffcaae 346 | 000029d0 347 | 00002b92 348 | fffff5c1 349 | fffff929 350 | fffff754 351 | 00000b43 352 | 000021ad 353 | ffffd33d 354 | 00003fa9 355 | fffff7b1 356 | 00002918 357 | 00002e35 358 | fffff07f 359 | 00001d08 360 | 00002c29 361 | 00002c86 362 | ffffc2ae 363 | fffff629 364 | ffffd077 365 | 000000a5 366 | 00003bf2 367 | 000028b1 368 | ffffe93b 369 | ffffc5e4 370 | 0000256a 371 | ffffe75d 372 | ffffe602 373 | 000025ce 374 | ffffb94c 375 | 00000d6e 376 | fffffb62 377 | ffffef16 378 | ffffd19f 379 | ffffdb87 380 | ffffdd2c 381 | 00000cac 382 | 00002299 383 | fffff5fc 384 | ffffdaec 385 | ffffe369 386 | ffffc002 387 | 0000319a 388 | 00001f4c 389 | 00002219 390 | 00002e48 391 | ffffe64e 392 | ffffe173 393 | fffff552 394 | 00001ece 395 | 000007b6 396 | fffff809 397 | ffffda2a 398 | 00001384 399 | ffffc653 400 | 00003289 401 | 00002d9b 402 | 00003831 403 | 000031ac 404 | 00003cdc 405 | 000032b6 406 | fffffd47 407 | 00003140 408 | ffffe94d 409 | ffffd649 410 | 000014e3 411 | 000004a0 412 | 0000213c 413 | 00000837 414 | 00001937 415 | ffffe84b 416 | ffffc128 417 | 00001deb 418 | ffffcde7 419 | fffff3d7 420 | 00000062 421 | 000026e2 422 | ffffe13d 423 | ffffe9a3 424 | ffffdc66 425 | 00002814 426 | ffffdd2c 427 | fffff789 428 | ffffd441 429 | ffffd05e 430 | 000007d7 431 | 000031b0 432 | ffffe29e 433 | ffffda42 434 | 000039f3 435 | 000010a4 436 | ffffda41 437 | 000012b4 438 | 00003f6f 439 | 00002e39 440 | ffffd0d7 441 | ffffecb0 442 | 00002717 443 | 000012d9 444 | 00003cd1 445 | 00003c73 446 | fffff0c8 447 | 0000360a 448 | fffff17c 449 | 000009f8 450 | ffffc56c 451 | ffffd308 452 | 00000168 453 | 000008ea 454 | ffffd79a 455 | 00000c96 456 | ffffc2df 457 | ffffd6b4 458 | 000010f6 459 | ffffe400 460 | fffffbf0 461 | ffffd686 462 | ffffcb19 463 | ffffd5b6 464 | ffffcdd6 465 | 00002df8 466 | 000007cf 467 | 00000bf1 468 | 00001e80 469 | ffffff4a 470 | 000014ca 471 | 00000d6d 472 | 000001e1 473 | 000014e8 474 | 000017ac 475 | 00000392 476 | ffffe5e4 477 | 0000255e 478 | ffffc31e 479 | 000018c4 480 | 0000251d 481 | ffffca11 482 | fffff0a1 483 | ffffe21d 484 | fffffda0 485 | 00000067 486 | 00001363 487 | ffffd690 488 | ffffeac2 489 | ffffd799 490 | 00001124 491 | 00003aa0 492 | 000014ea 493 | 000038ad 494 | fffffc4b 495 | ffffc58d 496 | ffffd159 497 | ffffcf18 498 | 000002c7 499 | 00001ccd 500 | ffffd64d 501 | 000030ee 502 | 00001bff 503 | ffffd673 504 | fffffd1e 505 | ffffd782 506 | 00000968 507 | ffffdaed 508 | 00000302 509 | 000024e0 510 | 00001661 511 | ffffcaa4 512 | ffffe009 513 | 00003164 514 | ffffca6c 515 | ffffc38e 516 | 0000136b 517 | ffffd956 518 | 00002752 519 | 0000213e 520 | 00000220 521 | 000035c5 522 | 000024bc 523 | ffffd9fe 524 | ffffec5c 525 | 0000060e 526 | 00003fed 527 | fffff787 528 | 00003f89 529 | ffffcb75 530 | ffffdb2f 531 | fffff845 532 | fffffb90 533 | ffffd36c 534 | 000028bf 535 | 00002188 536 | ffffd32b 537 | ffffc8a0 538 | 00000f2e 539 | ffffcf31 540 | fffffb73 541 | ffffd636 542 | ffffd6db 543 | 000035da 544 | 00001f34 545 | ffffd2ee 546 | fffff1e0 547 | fffff87d 548 | 00000f2f 549 | 00000b37 550 | ffffdd34 551 | ffffcaa2 552 | 00001e5a 553 | 000012fe 554 | fffffbc9 555 | 000017c7 556 | 00001bba 557 | ffffd51b 558 | fffffbf6 559 | 00000ce0 560 | 00001717 561 | 0000108a 562 | 00000e0d 563 | 00001a09 564 | ffffc3a9 565 | 0000353b 566 | fffff1d5 567 | 0000323d 568 | ffffcbe2 569 | ffffded2 570 | 000032f4 571 | fffff5a5 572 | ffffee9d 573 | ffffc6a6 574 | fffff54a 575 | fffff502 576 | 00000c69 577 | 00002afa 578 | 00001134 579 | 00001873 580 | ffffc0f7 581 | ffffcb7c 582 | fffff161 583 | ffffd3c6 584 | 00003408 585 | ffffd53d 586 | 0000192f 587 | fffff17f 588 | ffffd01a 589 | 00001338 590 | 000033f0 591 | ffffcfd6 592 | ffffcf5d 593 | fffff6dc 594 | 00001a1e 595 | 00003ac6 596 | fffff236 597 | fffff336 598 | 000039b1 599 | fffffe0f 600 | ffffee7f 601 | fffff107 602 | 00001a74 603 | fffff143 604 | 00001726 605 | ffffe7a2 606 | 00001cdc 607 | 000008d9 608 | 00002b43 609 | ffffd4d7 610 | fffff429 611 | 000024d1 612 | ffffe116 613 | 00000606 614 | 00002434 615 | 00000bf9 616 | fffff6a6 617 | ffffbe58 618 | ffffd074 619 | 000025a7 620 | 0000013d 621 | 00002432 622 | ffffe541 623 | fffff36c 624 | ffffbb70 625 | fffff356 626 | 000014f0 627 | 000005a9 628 | 00000563 629 | 0000301d 630 | ffffca0b 631 | 00002351 632 | ffffec86 633 | 000033b5 634 | fffff414 635 | ffffdf4e 636 | 0000222d 637 | ffffebe3 638 | ffffef92 639 | fffffc93 640 | 0000252c 641 | ffffca0e 642 | ffffd878 643 | 0000200b 644 | 00003bc5 645 | 00001f4d 646 | ffffc950 647 | ffffeedc 648 | 00002daf 649 | ffffc207 650 | ffffd078 651 | fffffc73 652 | ffffefa8 653 | 00002334 654 | 00002a07 655 | fffffe52 656 | 0000244f 657 | ffffc8a2 658 | fffff8a8 659 | ffffe897 660 | 00002549 661 | 000037a6 662 | ffffca0c 663 | ffffdf7e 664 | 00002fc4 665 | 000031c6 666 | 0000061d 667 | ffffeeed 668 | 00001d0d 669 | fffff966 670 | 000015c9 671 | 00000309 672 | fffff896 673 | fffff338 674 | 00000630 675 | 00000818 676 | 00003157 677 | 00000182 678 | 0000116b 679 | fffffc64 680 | 0000003f 681 | 00000c9f 682 | ffffd075 683 | 00002f41 684 | 000007f8 685 | 00002d9c 686 | 00002b1d 687 | ffffda75 688 | 00003d5e 689 | ffffc013 690 | 00003b45 691 | ffffef05 692 | 00002637 693 | 0000242d 694 | fffffee1 695 | fffff60b 696 | 00000147 697 | fffff274 698 | 00001d03 699 | 00003691 700 | fffffcc2 701 | 00002c75 702 | fffffadc 703 | ffffd7c5 704 | ffffcef5 705 | 0000122f 706 | ffffc46f 707 | 000029e2 708 | 000036f6 709 | ffffdc4c 710 | 000007fa 711 | ffffe5a3 712 | 000020b4 713 | 00003d3a 714 | fffff8f2 715 | 00003bf7 716 | 00001e33 717 | fffff530 718 | ffffdf80 719 | fffffa95 720 | 00003a83 721 | 00002b05 722 | 00003ea0 723 | fffffda7 724 | fffffb2d 725 | 00002ca8 726 | 00003c59 727 | 000031d0 728 | 00001e16 729 | ffffff49 730 | 00003d85 731 | 00001ffc 732 | ffffdd76 733 | 00003d56 734 | 0000185b 735 | 0000208a 736 | ffffea8e 737 | ffffc7df 738 | ffffc1c5 739 | 0000087d 740 | 000029cf 741 | ffffc5cd 742 | 000032fe 743 | 00001e8a 744 | fffff1bf 745 | 00002b98 746 | 00002710 747 | 00000d54 748 | 00001768 749 | 00001513 750 | 0000080d 751 | 00001849 752 | 000037f9 753 | ffffd551 754 | ffffd327 755 | ffffec52 756 | fffff1f7 757 | 00002367 758 | 00003668 759 | ffffcf06 760 | ffffc576 761 | ffffe0b4 762 | 0000045e 763 | fffff017 764 | ffffc4a0 765 | ffffc3df 766 | 000016f7 767 | 00002690 768 | 000024af 769 | ffffdfa2 770 | 00000934 771 | ffffd66a 772 | 00000417 773 | 00000644 774 | 000024c2 775 | 000028a1 776 | 000027c1 777 | ffffe56e 778 | 000012c7 779 | fffffeb7 780 | ffffda2c 781 | fffff829 782 | 00001db4 783 | ffffe975 784 | fffff2e4 785 | ffffde8c 786 | ffffc3d6 787 | 00003f70 788 | 000006e4 789 | ffffff90 790 | 000015c4 791 | 00000ca1 792 | 00003704 793 | 000004c5 794 | ffffc8af 795 | 0000123c 796 | ffffc904 797 | 00002549 798 | fffff1f0 799 | 00003488 800 | ffffddf8 801 | 000020fb 802 | ffffe082 803 | 00003a2b 804 | ffffca75 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /w1_2.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | 0000291f 22 | ffffd5eb 23 | ffffe954 24 | 00000b36 25 | 00002587 26 | 00000dfb 27 | fffffe8d 28 | 00003b63 29 | 00001e04 30 | ffffc9cd 31 | 00003ff7 32 | ffffe644 33 | fffff411 34 | 00003e03 35 | ffffec87 36 | ffffe54a 37 | 00000045 38 | 00000fb3 39 | 0000181a 40 | ffffe79b 41 | ffffe397 42 | 00003a3f 43 | 00001116 44 | fffffb62 45 | 00002880 46 | 00001a64 47 | ffffc476 48 | 00002151 49 | ffffcec7 50 | 00002165 51 | 00000cdc 52 | 00000a30 53 | 00001c64 54 | 00000506 55 | 0000192d 56 | 00000073 57 | ffffeec1 58 | 00003472 59 | fffffc8d 60 | ffffdbc9 61 | ffffcd93 62 | fffff26d 63 | ffffd7e7 64 | 00003a74 65 | ffffe1b3 66 | 00003983 67 | ffffe58c 68 | 00002ae1 69 | 00000d0f 70 | 00000789 71 | ffffc9f9 72 | 000009b9 73 | ffffde1e 74 | ffffd2f0 75 | ffffd925 76 | 00003976 77 | 0000139b 78 | fffff506 79 | ffffdeaa 80 | 000006f8 81 | 00001670 82 | 000031d1 83 | ffffd464 84 | 00001db0 85 | 00003490 86 | ffffc04a 87 | ffffc61e 88 | ffffc3da 89 | 00003b4a 90 | 000007a9 91 | ffffe7d8 92 | ffffc516 93 | 00002266 94 | ffffc712 95 | ffffcba9 96 | 0000129b 97 | ffffd3f6 98 | ffffe518 99 | ffffeaea 100 | 00002a0c 101 | 00003942 102 | 00001d5d 103 | ffffecf3 104 | ffffcc69 105 | fffff929 106 | ffffdced 107 | ffffff21 108 | fffff2e6 109 | 000016b6 110 | fffff8aa 111 | ffffd13e 112 | 00002413 113 | ffffe8c0 114 | fffff40e 115 | ffffd784 116 | 0000001f 117 | fffff922 118 | 0000065d 119 | fffffd16 120 | 000029af 121 | 00000d5c 122 | ffffdc14 123 | fffff311 124 | 00003c7f 125 | 00001e40 126 | fffffec3 127 | ffffdc18 128 | 000000e8 129 | fffff8e4 130 | 00001f97 131 | ffffed88 132 | 00001fff 133 | 00003154 134 | fffffc0f 135 | 000024c6 136 | ffffc950 137 | ffffe7b1 138 | 0000239a 139 | 00000705 140 | ffffc32a 141 | ffffd38c 142 | ffffc05a 143 | ffffcbe4 144 | ffffc1e9 145 | ffffe3d1 146 | ffffee69 147 | ffffcf10 148 | 00001f23 149 | 00003906 150 | ffffcc27 151 | ffffdb6d 152 | 0000333c 153 | ffffc46b 154 | ffffe4d9 155 | ffffcd82 156 | 00002b52 157 | ffffe6ad 158 | ffffd09e 159 | ffffe339 160 | 00002909 161 | ffffd5f0 162 | 0000221f 163 | ffffedd5 164 | ffffe89d 165 | fffff7b9 166 | ffffc90c 167 | ffffdadc 168 | 00003ad5 169 | ffffe30c 170 | fffffd95 171 | 000034b3 172 | 00001090 173 | fffff105 174 | 000005d8 175 | 00000a90 176 | ffffe186 177 | 00001bce 178 | ffffe85e 179 | ffffd2ae 180 | fffff823 181 | 000007a4 182 | 000020bd 183 | ffffe057 184 | 00000beb 185 | ffffdffa 186 | ffffe699 187 | ffffcb79 188 | ffffdaea 189 | ffffdda2 190 | 00001c19 191 | 00003f5a 192 | 00003c47 193 | 000039dc 194 | 0000233d 195 | 000005e5 196 | fffffbc2 197 | ffffc003 198 | ffffc68c 199 | ffffd9fa 200 | ffffddfa 201 | 00003943 202 | 00003652 203 | ffffead8 204 | ffffde16 205 | ffffe5ad 206 | ffffe366 207 | ffffbff6 208 | ffffe984 209 | ffffdfe8 210 | fffff5d4 211 | 00000ffc 212 | 0000133d 213 | ffffe1eb 214 | 00000edd 215 | 0000142f 216 | ffffd316 217 | 0000133b 218 | ffffeea6 219 | ffffd31f 220 | fffff239 221 | ffffd048 222 | fffffeb4 223 | 000037d0 224 | 00001291 225 | ffffe1a4 226 | fffff362 227 | ffffbf31 228 | ffffe1e3 229 | ffffe1a7 230 | 000031e6 231 | ffffd817 232 | 00003496 233 | fffff2a9 234 | 00001bc1 235 | ffffc8f6 236 | ffffc91c 237 | 000032ce 238 | fffffe8c 239 | fffff864 240 | 000006bb 241 | ffffde74 242 | ffffdaef 243 | 000004c3 244 | 000019b3 245 | ffffe3c0 246 | 000006d7 247 | 00002612 248 | 00001387 249 | ffffda7c 250 | 000015d8 251 | 00001568 252 | fffffb6c 253 | 0000212a 254 | 00000375 255 | ffffffc2 256 | fffffea9 257 | 00000e25 258 | ffffe2e9 259 | 00002f4a 260 | 00002234 261 | fffff2b5 262 | ffffcd79 263 | 00000914 264 | 00001beb 265 | 000021f8 266 | ffffc4e9 267 | 00001790 268 | 00001ce2 269 | ffffd140 270 | 00001a41 271 | 00003c59 272 | 0000182b 273 | ffffe0a9 274 | 00002ec0 275 | ffffccac 276 | 0000134e 277 | 0000111d 278 | ffffc486 279 | ffffc207 280 | 00001ffb 281 | 00002213 282 | 00001447 283 | ffffe6c2 284 | ffffc50c 285 | 0000088e 286 | ffffc49b 287 | fffff7d4 288 | 000028ba 289 | fffff216 290 | 00000846 291 | 000016ad 292 | 00002cd6 293 | 000002b9 294 | ffffc235 295 | ffffec7e 296 | 000039ef 297 | 00003817 298 | 00002c2c 299 | 00003cf7 300 | fffffa1a 301 | 00000996 302 | 00003976 303 | 000016f1 304 | ffffc329 305 | ffffcc01 306 | ffffd090 307 | fffffa9a 308 | 00003086 309 | ffffeb62 310 | ffffc904 311 | ffffea01 312 | 00000588 313 | 000025d8 314 | 000002aa 315 | 000030ba 316 | fffffb38 317 | 00003039 318 | 000028b1 319 | 000025fe 320 | 00003079 321 | ffffe0b9 322 | ffffd2a2 323 | ffffea9f 324 | ffffd741 325 | 000006af 326 | 00001c76 327 | 00003980 328 | fffffe93 329 | 00000651 330 | 00002bec 331 | 00002dde 332 | 0000150e 333 | 000003e2 334 | 000000e0 335 | 000015a8 336 | ffffee1b 337 | 0000234f 338 | 0000287a 339 | 0000262b 340 | fffff73f 341 | ffffcf67 342 | 00003b0d 343 | 00000650 344 | ffffdba3 345 | 0000026f 346 | 000026cb 347 | ffffc194 348 | 00000b3c 349 | ffffc41c 350 | ffffd124 351 | ffffe707 352 | 00001a29 353 | 00003802 354 | fffff6ed 355 | 000020c7 356 | 00002048 357 | 00003e73 358 | ffffc34f 359 | ffffe6f7 360 | 00002028 361 | ffffc0f1 362 | 00001405 363 | ffffcfc9 364 | fffffc6e 365 | 00000d71 366 | ffffe5d6 367 | 0000135c 368 | 00002c88 369 | fffff7b4 370 | ffffc3cc 371 | ffffc0ba 372 | ffffe264 373 | ffffeba6 374 | ffffca04 375 | ffffcdd7 376 | 0000082c 377 | 0000139e 378 | 0000277f 379 | 00003785 380 | ffffeaa7 381 | ffffcad4 382 | ffffcc4e 383 | 000025e1 384 | 00003ba1 385 | 00000107 386 | 00002e54 387 | 00003ce7 388 | ffffc68b 389 | 00002b97 390 | fffff08e 391 | 000038ad 392 | ffffe7b9 393 | ffffe4e9 394 | ffffcfa3 395 | 00001016 396 | fffff399 397 | ffffd19d 398 | ffffc177 399 | ffffc32e 400 | ffffc458 401 | ffffddf6 402 | 00000ff4 403 | ffffc31b 404 | 00003422 405 | 000007b2 406 | fffff263 407 | fffff93f 408 | ffffd9d6 409 | ffffd46a 410 | fffffd4d 411 | fffff597 412 | 000032d5 413 | fffff413 414 | 000019f2 415 | ffffc9b7 416 | ffffdd6e 417 | ffffda73 418 | ffffebc6 419 | 00000aec 420 | 00002720 421 | ffffe527 422 | 00000958 423 | ffffe373 424 | ffffe372 425 | 0000309b 426 | 0000378f 427 | 000015ca 428 | ffffd3da 429 | ffffe3ab 430 | 000019e8 431 | 00001656 432 | 00001a80 433 | ffffbeb2 434 | 00003521 435 | ffffd6e7 436 | 00001423 437 | 00001451 438 | ffffd690 439 | ffffc5ee 440 | ffffde27 441 | 0000075e 442 | ffffc9c8 443 | fffff740 444 | 00003a13 445 | 000012e9 446 | 00001406 447 | ffffc384 448 | 000030fe 449 | ffffca74 450 | 00000bad 451 | fffffacf 452 | 00002aa3 453 | 00001520 454 | fffff816 455 | ffffc21a 456 | ffffce07 457 | 000009ad 458 | ffffb867 459 | 00002dee 460 | fffff297 461 | 000003d3 462 | 00002357 463 | 00002c1b 464 | ffffd419 465 | ffffe8b9 466 | ffffdec4 467 | 00001a79 468 | ffffcb3e 469 | fffff339 470 | fffff662 471 | 0000120c 472 | ffffea92 473 | fffffd4d 474 | ffffeaac 475 | ffffd30f 476 | 000015ab 477 | 000028f2 478 | ffffdfa0 479 | 00002be6 480 | ffffd09c 481 | ffffd201 482 | ffffdb3f 483 | ffffdaad 484 | 00001a61 485 | ffffe4d7 486 | ffffe650 487 | ffffc9fa 488 | ffffffe2 489 | ffffea57 490 | ffffd388 491 | 0000366a 492 | ffffd5e1 493 | 00001069 494 | ffffdcdd 495 | fffff9cb 496 | 00002239 497 | ffffcf90 498 | 0000090e 499 | ffffcb95 500 | ffffdd2c 501 | ffffd630 502 | ffffec0b 503 | ffffe231 504 | fffffe5b 505 | 0000157f 506 | ffffe41d 507 | 00000d62 508 | fffffd72 509 | 00003127 510 | ffffe105 511 | 00003afc 512 | ffffe7a6 513 | ffffc356 514 | 000006d6 515 | ffffda1d 516 | ffffe341 517 | fffff4cf 518 | fffff124 519 | ffffdabc 520 | 00001971 521 | 000014f5 522 | 00002047 523 | ffffc454 524 | ffffcda2 525 | 0000102b 526 | ffffda31 527 | 000016e1 528 | 00003c2e 529 | ffffce01 530 | 000022b6 531 | ffffc5a2 532 | ffffbff8 533 | ffffd4b3 534 | 00001760 535 | fffff96b 536 | ffffdafe 537 | 00002f8d 538 | fffffdba 539 | 0000066f 540 | ffffecf5 541 | ffffbe1f 542 | ffffc08f 543 | 00002592 544 | 000032e9 545 | 000019d8 546 | 000016b2 547 | ffffc8c0 548 | fffff264 549 | 00002442 550 | ffffeb0d 551 | ffffe235 552 | 00001d50 553 | ffffd0e3 554 | 000020a6 555 | 00000c4e 556 | fffff3ab 557 | 0000068c 558 | ffffd476 559 | 000019f7 560 | 00002c22 561 | fffffe68 562 | 000038ee 563 | fffff686 564 | 000026b7 565 | ffffcdc9 566 | ffffe579 567 | 00001e6a 568 | 00000c95 569 | 00000348 570 | ffffe058 571 | fffff003 572 | ffffef2b 573 | ffffe24e 574 | ffffe829 575 | fffff72b 576 | 00000cdf 577 | fffff332 578 | 00000e0c 579 | 000018d7 580 | 000036b7 581 | 00000fba 582 | 000028bc 583 | 00001dc8 584 | ffffc02f 585 | ffffd1c0 586 | ffffe63d 587 | 00000c39 588 | ffffed06 589 | 00000cf4 590 | ffffd9b3 591 | ffffea5f 592 | ffffc201 593 | ffffe8c0 594 | 00001769 595 | 00001711 596 | ffffdde5 597 | 0000342e 598 | 000025e8 599 | 00002c8a 600 | 000002ab 601 | 000036d2 602 | 000028ec 603 | ffffef63 604 | 00002afa 605 | 00003963 606 | ffffd64c 607 | ffffe05c 608 | ffffc335 609 | 0000096e 610 | ffffffb5 611 | ffffff29 612 | ffffda00 613 | 00003989 614 | fffffd3c 615 | fffff339 616 | fffffc05 617 | 00003464 618 | fffff930 619 | ffffc870 620 | ffffe69f 621 | ffffc15d 622 | ffffd023 623 | 00001699 624 | 00001923 625 | 00000352 626 | ffffdde2 627 | ffffd81c 628 | 00002e80 629 | 0000395c 630 | 0000198b 631 | ffffe0e8 632 | ffffffa4 633 | ffffe56e 634 | fffff349 635 | fffffe29 636 | 000038a1 637 | 000032b1 638 | ffffd690 639 | ffffc0ba 640 | 00000f55 641 | ffffdfa9 642 | 000036cc 643 | 00002ea1 644 | ffffea3c 645 | fffffb20 646 | 0000279f 647 | ffffe40e 648 | 00001a6a 649 | ffffed01 650 | ffffeae0 651 | 000004aa 652 | 00000b68 653 | 00001911 654 | 000011ca 655 | 0000382c 656 | ffffdbb8 657 | fffff70e 658 | ffffdb57 659 | ffffc5cf 660 | 00003173 661 | fffff012 662 | 00000042 663 | ffffe5a9 664 | fffffffe 665 | 000007aa 666 | 0000016b 667 | 00002115 668 | fffff59f 669 | ffffdb1e 670 | 000000ba 671 | 0000261e 672 | ffffd6e0 673 | 000028ee 674 | 000035f8 675 | 00001ea2 676 | ffffe12e 677 | ffffc058 678 | 00000d61 679 | 00003451 680 | ffffc019 681 | ffffe59c 682 | ffffe234 683 | 000006e4 684 | ffffd457 685 | ffffc2ba 686 | 00000718 687 | ffffdc02 688 | fffff1d2 689 | 00002534 690 | 00000e43 691 | ffffe98b 692 | fffff885 693 | ffffea4e 694 | ffffe4d7 695 | ffffec86 696 | 00002e39 697 | 00000708 698 | 00000294 699 | 000009f7 700 | 000018df 701 | ffffddfa 702 | 00000015 703 | ffffe96b 704 | 00000f16 705 | fffff2c2 706 | fffff804 707 | 00002f40 708 | 00002116 709 | 000027f2 710 | 00002d62 711 | ffffd80a 712 | ffffee71 713 | 00002713 714 | ffffc11f 715 | 000034fc 716 | ffffe79e 717 | 00000111 718 | 000013fb 719 | 00000813 720 | fffff9fb 721 | fffff7b6 722 | 00001e91 723 | 000008ce 724 | ffffe9d4 725 | 00003cca 726 | ffffffa5 727 | ffffca63 728 | 000031ec 729 | ffffed90 730 | ffffc1ea 731 | ffffccfb 732 | 00003077 733 | 000038ab 734 | 00000991 735 | 00002516 736 | 00003887 737 | 0000324a 738 | 000015b9 739 | 000017f2 740 | ffffd56a 741 | 0000152f 742 | 00003454 743 | 0000161b 744 | ffffe6df 745 | ffffebdb 746 | 000018e5 747 | fffffe2e 748 | fffff57c 749 | ffffe649 750 | ffffd67b 751 | 000000b8 752 | 00000d1c 753 | 000009f9 754 | ffffd2a9 755 | ffffe177 756 | 00003baf 757 | 000032b0 758 | 00001e97 759 | ffffd4a9 760 | ffffcf19 761 | 00002479 762 | ffffbf5f 763 | ffffcd56 764 | fffffa52 765 | 00000ec4 766 | 00000f9b 767 | ffffe01a 768 | 00002c0c 769 | 00000e91 770 | 00000564 771 | 0000380a 772 | ffffc46f 773 | 000007e4 774 | 0000279e 775 | 00000e1e 776 | 00000865 777 | ffffe94a 778 | 0000054d 779 | ffffcf17 780 | 00002ead 781 | 000003e9 782 | 00000625 783 | 00001203 784 | ffffd893 785 | 00000b80 786 | ffffc4fe 787 | 00000bbe 788 | 000004e7 789 | 000035d7 790 | 00002bbc 791 | 000030a4 792 | fffffcde 793 | ffffca81 794 | fffffd3a 795 | 00001aa0 796 | 000033d0 797 | 000002fb 798 | 000019bf 799 | ffffe49f 800 | fffffe6b 801 | 00003356 802 | 00002e17 803 | 00001f97 804 | 000001df 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /w1_3.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | 00003106 22 | ffffdbf5 23 | 00001d01 24 | 00002b9f 25 | fffff9ff 26 | 00002d29 27 | fffff340 28 | 0000318d 29 | 0000218b 30 | 000034ac 31 | 0000276b 32 | ffffdd07 33 | 00000ccd 34 | ffffc5dd 35 | ffffe80a 36 | 00003730 37 | 0000145d 38 | 000039d9 39 | fffff0da 40 | ffffd12c 41 | 00000cc6 42 | 0000199e 43 | ffffe35b 44 | 000024c6 45 | 000016f6 46 | 00002407 47 | fffff782 48 | 0000303e 49 | fffffafb 50 | 000034a5 51 | 00003c32 52 | 000016b6 53 | ffffd722 54 | fffff9ec 55 | 000011f8 56 | 00002d2b 57 | fffffa65 58 | ffffc375 59 | 00001741 60 | 000031cc 61 | 00000f91 62 | ffffc1c4 63 | 00002e90 64 | ffffd38f 65 | ffffed2c 66 | 00003777 67 | 00001dc6 68 | 0000370d 69 | 00001d72 70 | fffff333 71 | 00001fb3 72 | fffffdc0 73 | 00001b47 74 | ffffed65 75 | ffffdc71 76 | 000012ec 77 | ffffec29 78 | 00002d37 79 | ffffd158 80 | 00002caf 81 | ffffc10a 82 | ffffc5e8 83 | ffffd826 84 | 0000348f 85 | fffffd0f 86 | 00001d98 87 | 00001c2f 88 | 0000335e 89 | ffffcbe2 90 | 000006c9 91 | 00000eb7 92 | ffffe0e5 93 | fffff9ea 94 | ffffcbc7 95 | ffffcad9 96 | 00001621 97 | 000032ea 98 | ffffcbc7 99 | 00002f7c 100 | ffffc7aa 101 | 00001bf6 102 | fffff299 103 | ffffd41a 104 | 00003f82 105 | ffffd931 106 | 00002939 107 | ffffdb0b 108 | 000018e6 109 | 00002bca 110 | 00003fd1 111 | 00003146 112 | fffff7b8 113 | ffffc5ac 114 | fffffedf 115 | 000041c7 116 | 00001210 117 | 000033d2 118 | 000033f4 119 | ffffedc0 120 | 000007c1 121 | 00003caa 122 | fffff93a 123 | fffff19f 124 | 00000109 125 | 000029e0 126 | 0000470a 127 | fffff63f 128 | 00001831 129 | fffff05a 130 | fffff348 131 | ffffeac5 132 | 00003882 133 | ffffefd6 134 | fffffdb8 135 | fffff9fd 136 | 00000483 137 | ffffeb1f 138 | ffffcbf1 139 | ffffea9f 140 | fffff356 141 | 00000272 142 | fffffa09 143 | ffffff51 144 | ffffcf22 145 | 000033bb 146 | 00002748 147 | ffffd337 148 | 00004624 149 | 000022bb 150 | fffff102 151 | 00004560 152 | 000025f8 153 | 0000064a 154 | ffffdd24 155 | ffffd3e5 156 | 000021b9 157 | ffffc875 158 | ffffd726 159 | 00001ac1 160 | ffffc6e1 161 | 00002128 162 | fffff357 163 | 00001dcc 164 | 000004c4 165 | ffffeb17 166 | 00000ca2 167 | ffffcc8b 168 | fffff7b9 169 | 00000c4c 170 | 00003b29 171 | ffffebae 172 | 00002bb7 173 | 000009bf 174 | ffffde38 175 | ffffed93 176 | ffffd747 177 | 000020b9 178 | 000017dd 179 | 00001056 180 | 000027fb 181 | 00002eb8 182 | ffffea3e 183 | 00003cba 184 | 000012e6 185 | fffff077 186 | 00001ea1 187 | 0000058e 188 | 00001f50 189 | 00001df1 190 | 000029e1 191 | 00001425 192 | ffffd843 193 | ffffda46 194 | 00001994 195 | 00002fe1 196 | 0000033b 197 | 00000042 198 | 00001d3a 199 | ffffd913 200 | 00003396 201 | 00001ca7 202 | 000002ca 203 | 0000144d 204 | 00001e0f 205 | fffff4c4 206 | ffffd739 207 | ffffd1d0 208 | fffff78e 209 | ffffdfec 210 | ffffd810 211 | 0000090b 212 | 000015af 213 | 00001bf2 214 | ffffdc29 215 | ffffed90 216 | fffff9bf 217 | 00002a64 218 | 00002af9 219 | ffffde35 220 | fffff262 221 | ffffef19 222 | 000028b8 223 | 000022d2 224 | fffff175 225 | ffffef29 226 | ffffe538 227 | 00000217 228 | 00002d43 229 | ffffd736 230 | ffffe575 231 | fffffb38 232 | fffff181 233 | 00001baf 234 | fffff155 235 | 000020d4 236 | ffffc026 237 | ffffc9cc 238 | fffff56f 239 | 0000111b 240 | 00000497 241 | 00000d7c 242 | ffffde70 243 | ffffec23 244 | 0000062d 245 | fffff887 246 | ffffe25a 247 | ffffd785 248 | ffffd30a 249 | 000020e5 250 | ffffc4e2 251 | 000036de 252 | 000007f8 253 | 000026b4 254 | 000023bf 255 | 000022e7 256 | ffffead3 257 | fffff25b 258 | 00000c94 259 | fffff620 260 | ffffdbc4 261 | ffffd520 262 | 00002eca 263 | ffffcd96 264 | ffffdf01 265 | ffffb36c 266 | ffffeaf7 267 | ffffe6d6 268 | 0000050e 269 | 00000ffd 270 | fffff7dc 271 | 00000fc3 272 | 00000919 273 | 0000057c 274 | fffff923 275 | 0000090e 276 | ffffcb23 277 | 000038b8 278 | 00000454 279 | ffffe435 280 | 0000280c 281 | fffff8f0 282 | ffffc752 283 | ffffe7f4 284 | 00001215 285 | 00003012 286 | 00000c94 287 | 000006ff 288 | ffffd8a3 289 | ffffe117 290 | fffff931 291 | ffffcfcf 292 | fffff276 293 | 00001115 294 | ffffe10b 295 | 00000367 296 | ffffb1fd 297 | 000022d6 298 | 00000afa 299 | 00001105 300 | ffffcd18 301 | 00001c61 302 | 000006c3 303 | ffffc0e3 304 | 0000211f 305 | 00000698 306 | 00000309 307 | ffffc87e 308 | fffff89e 309 | 00001bf7 310 | fffff28c 311 | ffffd71c 312 | fffffbab 313 | 00000dbe 314 | fffff07a 315 | 00002647 316 | ffffe429 317 | fffff292 318 | ffffe0c2 319 | ffffc156 320 | ffffcc70 321 | ffffd8c0 322 | 00000116 323 | 000018db 324 | 000025cd 325 | 00002059 326 | 000036f9 327 | ffffe5e0 328 | ffffcbcd 329 | ffffc895 330 | fffff453 331 | ffffdea9 332 | fffff45a 333 | ffffd173 334 | 000032b2 335 | 00002305 336 | 0000083b 337 | 00001328 338 | 00002a7f 339 | 00003e4f 340 | fffff869 341 | 00001a9f 342 | ffffd03e 343 | ffffce2b 344 | ffffe157 345 | ffffe72f 346 | 00000f08 347 | 00002384 348 | ffffc857 349 | 00002132 350 | 000009e6 351 | ffffc9dc 352 | 00001db7 353 | fffff870 354 | ffffc146 355 | ffffe84f 356 | 00000b35 357 | 0000203a 358 | fffffb4b 359 | 000006a7 360 | ffffe5a0 361 | 00000d3c 362 | 000026e1 363 | fffff20f 364 | 000028c3 365 | ffffe4b8 366 | 00002676 367 | ffffd7ce 368 | 00001713 369 | ffffec15 370 | ffffd33f 371 | ffffc60f 372 | ffffef78 373 | fffff6a3 374 | ffffdd23 375 | 000013e7 376 | ffffc7ca 377 | 000010c3 378 | 00002b28 379 | ffffc7c8 380 | 00002c03 381 | ffffdb05 382 | ffffe613 383 | 00002abe 384 | 00002145 385 | 0000061f 386 | fffff14a 387 | 00001f23 388 | ffffe9aa 389 | fffffd8e 390 | fffff067 391 | 00001ac6 392 | ffffd641 393 | fffffe28 394 | fffff27b 395 | fffffc19 396 | ffffe986 397 | 000034fd 398 | ffffe1b8 399 | ffffcae6 400 | 00001eec 401 | ffffd117 402 | 000031d6 403 | ffffd7ce 404 | 000004a1 405 | fffffae5 406 | 00002cab 407 | 00000123 408 | fffff1e3 409 | 0000319f 410 | 0000260d 411 | 00002cc8 412 | 0000339b 413 | 00003875 414 | fffffaa8 415 | ffffed98 416 | fffff536 417 | 000026b4 418 | ffffe17f 419 | ffffd2bb 420 | 000037ca 421 | 000030b6 422 | 0000215e 423 | 0000105f 424 | 0000198a 425 | 00001a68 426 | ffffe06a 427 | 00001962 428 | ffffe134 429 | 000033ff 430 | ffffe582 431 | ffffe5dd 432 | 000048af 433 | fffff96e 434 | fffff34d 435 | 0000394d 436 | 000038a0 437 | ffffce1f 438 | 00000940 439 | 00003336 440 | ffffde88 441 | 0000399d 442 | 00003c99 443 | 0000205c 444 | 00000555 445 | ffffc23f 446 | 0000022e 447 | 00000279 448 | 00001406 449 | 00004591 450 | 00004827 451 | 00002249 452 | fffffe21 453 | ffffeed3 454 | 00001b59 455 | ffffdcb9 456 | 0000336d 457 | 00004417 458 | 00001d5f 459 | ffffed0c 460 | ffffe36f 461 | ffffe0ad 462 | 00001390 463 | ffffe6ad 464 | ffffe42c 465 | ffffcc1c 466 | fffffe00 467 | ffffd9bb 468 | fffffc97 469 | 000029bd 470 | ffffc92f 471 | 00002abf 472 | fffffc52 473 | 0000323c 474 | ffffd4bc 475 | ffffe2c2 476 | 000043e8 477 | 0000351c 478 | 000048f3 479 | 00004dcd 480 | 000027c8 481 | 000033c0 482 | 00002f85 483 | 000034a7 484 | ffffd2ae 485 | ffffe5c4 486 | 000021eb 487 | 000015bb 488 | 00000dd4 489 | 00004a81 490 | 00003207 491 | 0000120c 492 | 00002f53 493 | ffffcb2c 494 | fffffc5e 495 | fffffae7 496 | 00002c0a 497 | ffffce28 498 | ffffd1ac 499 | 00000693 500 | 00000cba 501 | fffff2f6 502 | ffffd02f 503 | fffff824 504 | 000007f4 505 | ffffe3b3 506 | 00005558 507 | 00002ce3 508 | 0000447a 509 | 00002fc9 510 | ffffdc1e 511 | 000050dc 512 | ffffec69 513 | 0000187a 514 | 00000c33 515 | 00000539 516 | 0000309b 517 | ffffe6b1 518 | 00000d79 519 | fffffce8 520 | 00003de4 521 | ffffcec3 522 | fffff425 523 | 00003c11 524 | fffff915 525 | ffffdbb2 526 | 00000603 527 | 000019cd 528 | fffffd70 529 | ffffe721 530 | ffffcd12 531 | 000002f6 532 | 00004420 533 | ffffd8d7 534 | 000042fb 535 | 000026fb 536 | 000043a1 537 | 0000388f 538 | 00001e4c 539 | ffffed17 540 | ffffe4ef 541 | 00003745 542 | 00004df6 543 | ffffe200 544 | ffffd74f 545 | 00002536 546 | 000034f9 547 | ffffe99c 548 | ffffc71f 549 | 00003f02 550 | 00002d11 551 | ffffee8b 552 | fffff5bf 553 | ffffc1c1 554 | ffffe809 555 | 0000126e 556 | 000005e4 557 | 00003a0e 558 | fffff837 559 | ffffee56 560 | ffffd1b2 561 | 000025d2 562 | 000027fa 563 | 00004022 564 | 0000224f 565 | 0000557d 566 | 00001c9d 567 | ffffdafb 568 | 00004657 569 | ffffe644 570 | 000047da 571 | fffffaca 572 | 000032f1 573 | ffffccf5 574 | 00000be5 575 | 00001e2b 576 | 00003ff9 577 | ffffde07 578 | 0000041c 579 | 000035b4 580 | ffffffe3 581 | fffffc8e 582 | 00003312 583 | 00003bc4 584 | 00002698 585 | ffffc57d 586 | 00003656 587 | ffffc9fe 588 | 000006b7 589 | 000022a4 590 | ffffdbc0 591 | 00003edc 592 | ffffebe9 593 | 00003788 594 | 00002001 595 | 00000cfa 596 | 00001832 597 | 0000123b 598 | fffffac1 599 | fffff6a0 600 | 000030da 601 | 00003d1e 602 | ffffd7d3 603 | ffffeed2 604 | 00003fbc 605 | fffffd0e 606 | ffffd1f2 607 | 0000088b 608 | ffffc671 609 | ffffec5a 610 | ffffcc12 611 | fffffc3a 612 | 00000c1b 613 | 0000087f 614 | 00002783 615 | ffffc27c 616 | 00001858 617 | 00002678 618 | ffffdc4e 619 | 000040a6 620 | 00000c0c 621 | 000021b2 622 | 00004bb4 623 | 00003d9a 624 | 0000160b 625 | 00000fea 626 | 00000487 627 | 00001d6f 628 | ffffd780 629 | ffffe0c0 630 | fffff950 631 | ffffd0ee 632 | 00001340 633 | 000037b0 634 | ffffe55d 635 | ffffc123 636 | ffffe03b 637 | 0000271e 638 | 00001ade 639 | ffffd1e0 640 | 00002b6c 641 | 00003b81 642 | fffff192 643 | 00000b6b 644 | ffffed50 645 | fffffc33 646 | ffffe9d2 647 | ffffde66 648 | fffff7bd 649 | 00002fb4 650 | ffffc089 651 | 000033bb 652 | ffffdc52 653 | ffffe61e 654 | 00003372 655 | fffffd7e 656 | fffffa85 657 | ffffca48 658 | ffffd5b7 659 | 0000013d 660 | ffffc664 661 | 000015fe 662 | ffffc764 663 | ffffd3d6 664 | ffffcd74 665 | 00003204 666 | 00001f9e 667 | 00002845 668 | 00002e7f 669 | 00003c34 670 | fffff35f 671 | 0000074e 672 | ffffde24 673 | 0000226e 674 | fffff13b 675 | fffff40b 676 | ffffc6cf 677 | 00000831 678 | ffffb68d 679 | 00000a2b 680 | ffffd903 681 | 0000018c 682 | ffffe478 683 | ffffc7c6 684 | 00001b82 685 | fffff6ea 686 | fffff616 687 | ffffea91 688 | 00001e44 689 | 0000030b 690 | ffffc122 691 | 000028c8 692 | 00001514 693 | 0000164a 694 | 00002756 695 | ffffe52b 696 | ffffcfb8 697 | ffffe474 698 | ffffc7a2 699 | 00003043 700 | 000010e5 701 | fffff7fb 702 | ffffd30f 703 | 000017b3 704 | fffff3ca 705 | ffffbf66 706 | ffffbcc9 707 | 0000373d 708 | ffffb5c8 709 | 0000280a 710 | 00002a44 711 | ffffe1a6 712 | fffffb92 713 | ffffe747 714 | ffffd9e2 715 | 00003baa 716 | ffffe4a7 717 | ffffd90e 718 | 000004dd 719 | 000031b0 720 | ffffe70d 721 | ffffcafc 722 | 00001538 723 | 00002ac3 724 | ffffea18 725 | ffffcedb 726 | ffffc05c 727 | ffffe515 728 | ffffc809 729 | 00000b69 730 | 00001f7a 731 | 00002448 732 | 000032af 733 | 00000887 734 | fffff418 735 | 0000088c 736 | 00001259 737 | ffffc389 738 | 00002240 739 | 000017ab 740 | ffffe33c 741 | 000002dd 742 | ffffd98a 743 | ffffe4fa 744 | ffffe59e 745 | 00000995 746 | 00000ca2 747 | ffffcd7b 748 | 00001e2b 749 | 00003c93 750 | 000022e5 751 | ffffc6f3 752 | ffffc310 753 | ffffde85 754 | ffffe879 755 | 000016d8 756 | 00000a12 757 | 00000303 758 | ffffea2c 759 | 00002504 760 | 000034e3 761 | ffffc9a3 762 | fffff507 763 | 000006d6 764 | ffffe8d2 765 | ffffefdb 766 | ffffda17 767 | 00001ce5 768 | 00000ad7 769 | 00001b75 770 | ffffec1c 771 | 00002d26 772 | ffffe22e 773 | ffffe06e 774 | 0000041c 775 | fffff57c 776 | ffffe71a 777 | 0000264f 778 | 000012e8 779 | ffffea15 780 | fffff082 781 | fffff6e1 782 | 00003958 783 | fffff38f 784 | ffffc3e1 785 | ffffcbe0 786 | ffffc33b 787 | ffffd372 788 | ffffffe5 789 | 000033c7 790 | 0000197d 791 | 00003a41 792 | 00000f58 793 | 00001027 794 | ffffd112 795 | 00002840 796 | 0000389b 797 | 00002582 798 | ffffe5fa 799 | 00003e10 800 | 000000cc 801 | ffffd305 802 | ffffe92d 803 | ffffde5d 804 | 00001391 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /w1_4.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | fffff165 22 | 000008f5 23 | 00003d49 24 | 000000ee 25 | ffffd0e1 26 | 00002fd8 27 | 000016fa 28 | 00002673 29 | fffff8a7 30 | ffffc3f4 31 | 00000de0 32 | fffffeb2 33 | ffffd1a9 34 | fffff5af 35 | ffffc6ad 36 | ffffe22f 37 | 00000ee4 38 | 0000030b 39 | 0000304f 40 | 00001a72 41 | 00002712 42 | 000032d7 43 | 00002b8b 44 | fffff58a 45 | 000002b4 46 | 00001bcf 47 | 0000307d 48 | 00001f60 49 | 00002600 50 | ffffd5dd 51 | 00000487 52 | ffffc8c7 53 | 000021d2 54 | ffffc797 55 | ffffc9fb 56 | 0000247e 57 | ffffd08d 58 | ffffd341 59 | 000012f3 60 | ffffd867 61 | 0000314e 62 | ffffdf99 63 | ffffd70f 64 | 0000380a 65 | 00001b7f 66 | 00003294 67 | ffffe624 68 | 00003e46 69 | 00002f30 70 | 00002277 71 | fffffe0e 72 | ffffeffb 73 | 000036fc 74 | ffffeb30 75 | fffffd41 76 | ffffd742 77 | fffffe03 78 | ffffe2fc 79 | ffffd68e 80 | 000004b7 81 | 00003239 82 | ffffcd69 83 | ffffcd7b 84 | 00003b58 85 | ffffc2c9 86 | ffffd1a4 87 | ffffed5b 88 | fffff086 89 | ffffc8c4 90 | 0000137c 91 | ffffeee7 92 | ffffe1f6 93 | ffffd06a 94 | ffffc598 95 | 0000019d 96 | ffffde18 97 | ffffe3f5 98 | ffffcfdb 99 | ffffdc40 100 | 000025de 101 | ffffd05d 102 | 00002cc4 103 | 00003af7 104 | ffffd642 105 | fffffb11 106 | ffffcae1 107 | ffffe54e 108 | ffffee2e 109 | fffffccc 110 | 00002c56 111 | ffffda79 112 | 00000a22 113 | fffff14d 114 | 000022e6 115 | 00003e6d 116 | ffffe2ca 117 | 00002303 118 | ffffffa2 119 | fffffaea 120 | ffffd786 121 | ffffd375 122 | ffffd04c 123 | 00000355 124 | 000025b2 125 | fffff1ee 126 | ffffefb9 127 | ffffc510 128 | fffff5cf 129 | 0000234a 130 | ffffe674 131 | 0000231d 132 | fffff078 133 | 0000387d 134 | 000019c9 135 | 000020b9 136 | 000025e4 137 | 000038a7 138 | 00002037 139 | fffffbc4 140 | 00001b24 141 | ffffcf2d 142 | ffffcadb 143 | 00000409 144 | ffffe8b5 145 | 000033d0 146 | 0000068e 147 | 00001de3 148 | ffffef6d 149 | fffffe5d 150 | 00001d93 151 | 00002945 152 | ffffe7ae 153 | 000005c4 154 | 00002b65 155 | 00000fa6 156 | ffffe252 157 | 00000333 158 | 0000064a 159 | 000030b8 160 | ffffebd8 161 | 00001972 162 | 0000251c 163 | 000002e0 164 | ffffc0a5 165 | ffffedc1 166 | ffffce33 167 | 00003783 168 | 000005aa 169 | fffff976 170 | 00000b56 171 | 00000cad 172 | 00004aee 173 | ffffd83a 174 | 00000fd4 175 | 00001600 176 | 00003b60 177 | ffffe181 178 | 000034cd 179 | 00000bc3 180 | 00002322 181 | 000022b7 182 | ffffe88b 183 | 000001eb 184 | 00003ac5 185 | fffff555 186 | 00003f15 187 | 00000c16 188 | 0000293a 189 | 00002f82 190 | ffffcd9b 191 | ffffc1e3 192 | 00002f1c 193 | 00000547 194 | fffff35e 195 | 0000217c 196 | 00001ea4 197 | 00003723 198 | 0000350c 199 | 00000311 200 | 00003ce3 201 | ffffeb79 202 | 00005343 203 | fffff252 204 | 000021f3 205 | 00002b70 206 | fffff507 207 | 0000402d 208 | ffffe6eb 209 | 00002533 210 | ffffe2e4 211 | ffffea10 212 | 0000200f 213 | ffffcc7f 214 | ffffd8fc 215 | ffffc666 216 | 0000108c 217 | 000034a8 218 | 00001ce8 219 | 00000fb1 220 | 000008f8 221 | ffffebef 222 | 00001bb9 223 | 00003dcc 224 | ffffd2b3 225 | fffff391 226 | fffff450 227 | ffffd4a1 228 | fffff4ef 229 | 000047e1 230 | 00003f34 231 | 00001950 232 | 00002961 233 | 000037e8 234 | ffffd8a5 235 | 00003f31 236 | 00003c1d 237 | 00001bd4 238 | 00002d42 239 | ffffd7b9 240 | 00002949 241 | ffffe865 242 | 00000bbb 243 | 00003407 244 | 00002183 245 | 000022d2 246 | 00003d82 247 | 00003756 248 | 00000bb2 249 | ffffffd8 250 | ffffdb9d 251 | ffffdcc6 252 | 00000005 253 | ffffda89 254 | 0000480b 255 | ffffd53f 256 | ffffe7f9 257 | fffff579 258 | ffffff6c 259 | 00002db4 260 | ffffeb29 261 | 000011e7 262 | ffffcea3 263 | ffffe502 264 | 00000f1d 265 | 00000880 266 | ffffde18 267 | ffffd771 268 | ffffee91 269 | ffffc75a 270 | 00003a6a 271 | 0000311b 272 | fffff384 273 | 00002d6e 274 | fffffe1a 275 | 00001bfa 276 | ffffdb94 277 | ffffec1c 278 | 00002c79 279 | 00003685 280 | ffffc557 281 | 00000841 282 | 00003deb 283 | fffff747 284 | 0000161c 285 | ffffdf42 286 | ffffda8c 287 | 00001e86 288 | 000030ba 289 | 00001237 290 | 0000111d 291 | ffffd9fe 292 | 00002a5d 293 | 00003998 294 | 000039dc 295 | fffff745 296 | 00003ec5 297 | 000022b8 298 | ffffd737 299 | 0000019c 300 | 00000565 301 | 00001ecd 302 | 00002fe7 303 | ffffd133 304 | 000026c5 305 | ffffcbd8 306 | 000027e4 307 | 0000246b 308 | 000017e2 309 | fffff7a7 310 | ffffe823 311 | ffffece9 312 | fffff441 313 | ffffbd4d 314 | ffffd8f9 315 | ffffcde9 316 | ffffe899 317 | ffffd7cf 318 | ffffd6ad 319 | 00003fe7 320 | 00000952 321 | 000013f8 322 | ffffdbef 323 | 000029b9 324 | 0000079d 325 | 000035b0 326 | 000001ed 327 | ffffe2e1 328 | fffff6bc 329 | fffff94c 330 | ffffc7ad 331 | fffffafd 332 | 00000999 333 | 00000ed1 334 | ffffd36f 335 | ffffd08c 336 | ffffc2bf 337 | 0000393c 338 | ffffd718 339 | ffffc512 340 | fffff5b7 341 | fffff9e9 342 | ffffde25 343 | fffff168 344 | ffffb649 345 | fffff908 346 | 00000c57 347 | fffffae6 348 | 00002cf9 349 | ffffea2d 350 | fffff35e 351 | 00002eac 352 | 00002129 353 | ffffdc61 354 | 0000255c 355 | ffffcd08 356 | ffffe6ca 357 | ffffc190 358 | 00003c14 359 | ffffd804 360 | ffffd926 361 | ffffc856 362 | 00000fca 363 | fffffdda 364 | fffffa32 365 | 00001eac 366 | ffffcd6f 367 | 00002432 368 | ffffcb80 369 | 00001b73 370 | ffffa922 371 | ffffff3c 372 | 00001843 373 | 00000010 374 | 00002782 375 | 00001df6 376 | 00004570 377 | ffffe6e4 378 | 00001d26 379 | 00002c0f 380 | fffff880 381 | fffff4ba 382 | 000007bc 383 | ffffd3ce 384 | ffffd42d 385 | 00002f5a 386 | 00003db2 387 | fffffe80 388 | 00001629 389 | fffffef8 390 | 00001b8d 391 | ffffd3c5 392 | ffffb7e0 393 | 00002d56 394 | 00002b52 395 | 000004fe 396 | ffffe54c 397 | ffffe99a 398 | ffffb87c 399 | ffffdd6e 400 | 00000fee 401 | fffffafe 402 | 000039cb 403 | ffffea58 404 | ffffe8c4 405 | ffffe64c 406 | ffffe1d0 407 | 00001de0 408 | 00002ff7 409 | ffffbdf8 410 | 0000200c 411 | ffffd0d6 412 | fffff121 413 | 00002e06 414 | 00003602 415 | ffffc3c4 416 | ffffdfd9 417 | 00000163 418 | fffff5d2 419 | ffffe2a2 420 | 00000a2d 421 | 00000fbc 422 | fffffc83 423 | ffffd918 424 | 000033f1 425 | 00002ea2 426 | 0000153c 427 | 00001ea6 428 | ffffc53e 429 | ffffeedf 430 | 000028b9 431 | ffffe814 432 | 000012fc 433 | ffffe179 434 | fffffc54 435 | ffffdbe6 436 | 00000951 437 | ffffce91 438 | 00002f8d 439 | ffffc814 440 | 00001d5b 441 | ffffcd59 442 | ffffdd57 443 | 0000345f 444 | fffff31a 445 | ffffe428 446 | 0000128d 447 | ffffcba8 448 | 00000d93 449 | ffffd7a6 450 | 00000ec6 451 | 0000301f 452 | 00000c4e 453 | 00003083 454 | 00002118 455 | ffffe401 456 | fffff13e 457 | fffffdf8 458 | ffffcff9 459 | 00000374 460 | ffffcdfe 461 | 00001d3d 462 | 00002a82 463 | ffffec74 464 | ffffe41e 465 | 000030d4 466 | 00001792 467 | ffffff5e 468 | 000005f4 469 | 00002483 470 | ffffc90e 471 | 00001155 472 | 000031b3 473 | ffffec00 474 | 00003431 475 | ffffcfd9 476 | 000021bf 477 | 00001ff4 478 | fffff648 479 | 00000b7a 480 | ffffef70 481 | ffffedfd 482 | 000019a5 483 | ffffdd77 484 | 00004416 485 | 000045fd 486 | 000021d7 487 | ffffefa4 488 | 0000065d 489 | ffffc516 490 | ffffbb22 491 | ffffb721 492 | 00001b54 493 | 00000c56 494 | ffffcaf1 495 | fffffeed 496 | 00000f9b 497 | ffffc9df 498 | ffffe7b4 499 | ffffc562 500 | 000027a0 501 | ffffd1c8 502 | 0000133a 503 | ffffed86 504 | 000003ec 505 | 00000dab 506 | fffffc6f 507 | ffffe725 508 | 00000515 509 | 0000178c 510 | ffffe6c4 511 | 00001cc6 512 | 00002667 513 | ffffe908 514 | ffffe9d2 515 | ffffdfdd 516 | ffffe3ae 517 | ffffcdc0 518 | 0000067b 519 | 0000314c 520 | fffff869 521 | 000023d8 522 | 00002e76 523 | ffffc82d 524 | 00000bba 525 | ffffe4b7 526 | fffff00c 527 | fffff8a2 528 | ffffc35e 529 | 00000f25 530 | 00001d5b 531 | 000027bf 532 | ffffd4ab 533 | 000013d2 534 | 000029fc 535 | 0000386c 536 | 00003c53 537 | 00000b65 538 | 000031c3 539 | 0000332a 540 | ffffd608 541 | 00004eb3 542 | ffffdb82 543 | ffffed26 544 | ffffedac 545 | 0000186d 546 | 00002c61 547 | 00003a4c 548 | 00003b4b 549 | 0000207d 550 | 00000433 551 | ffffd6e7 552 | ffffd9df 553 | ffffede1 554 | ffffefbb 555 | 00001f05 556 | 000019da 557 | 00002d60 558 | 000022e3 559 | ffffd24c 560 | fffffa94 561 | 0000327f 562 | 00003482 563 | fffffbf3 564 | ffffecf0 565 | 000029a1 566 | 000021e0 567 | ffffc7a2 568 | 00000bc1 569 | ffffd28c 570 | 00002c2d 571 | ffffcbe3 572 | fffff0e6 573 | 0000376d 574 | 000022f6 575 | ffffeba6 576 | fffff74c 577 | ffffe259 578 | 000006fd 579 | 0000249d 580 | fffffabc 581 | 00002cf5 582 | ffffe10f 583 | ffffe5ed 584 | 00003a25 585 | fffffd58 586 | 00002cce 587 | 00003495 588 | 000043ff 589 | 00003e35 590 | fffff053 591 | 00003a00 592 | ffffe22b 593 | 00002f91 594 | fffff66c 595 | fffffce1 596 | ffffd04c 597 | ffffc26e 598 | 00003637 599 | fffffbba 600 | fffffaad 601 | fffff3c9 602 | 00001706 603 | ffffd548 604 | 0000241e 605 | 000035d0 606 | ffffeb9d 607 | ffffdc8c 608 | 00001e92 609 | 00002a21 610 | fffff742 611 | ffffc51b 612 | ffffdf2b 613 | 00001929 614 | 0000094d 615 | 00003f86 616 | ffffe7b9 617 | ffffd132 618 | ffffe776 619 | 00002927 620 | ffffbba2 621 | ffffbae3 622 | ffffda01 623 | 000027aa 624 | ffffe58d 625 | ffffc9ee 626 | 00003b18 627 | 00001b4c 628 | 0000417b 629 | ffffff37 630 | ffffda72 631 | ffffe197 632 | ffffd0e2 633 | 00002de9 634 | 00001d05 635 | fffff194 636 | 00003b2e 637 | ffffe2d7 638 | 000031bb 639 | ffffc222 640 | 00002227 641 | ffffc84b 642 | 00000b19 643 | ffffc885 644 | ffffd874 645 | 00002806 646 | 0000399e 647 | 000006b7 648 | ffffe589 649 | 00003954 650 | 000002ae 651 | ffffde29 652 | 00003399 653 | ffffcac3 654 | 0000162d 655 | ffffd5ea 656 | 0000113f 657 | 000002d9 658 | 00000436 659 | 0000314b 660 | 00003fbf 661 | 00002d30 662 | ffffe548 663 | ffffc713 664 | 0000171d 665 | ffffe77d 666 | 00002361 667 | ffffccd1 668 | 00001c7d 669 | ffffc81a 670 | ffffdccb 671 | ffffd9bc 672 | fffffab9 673 | 00002703 674 | ffffcec7 675 | 000005b8 676 | 00001b10 677 | fffff2ce 678 | ffffdaaa 679 | fffffd15 680 | ffffeed0 681 | 000024fb 682 | 0000382e 683 | ffffd628 684 | 00003a47 685 | fffffe53 686 | 00000969 687 | 00001b12 688 | 0000051a 689 | ffffe2e1 690 | ffffdbc6 691 | 00001124 692 | ffffe8ec 693 | 00000f1a 694 | 00002682 695 | ffffd94c 696 | ffffcb60 697 | ffffeda0 698 | 0000019d 699 | ffffd82f 700 | 000021b3 701 | ffffd47b 702 | 000037ff 703 | ffffeb8b 704 | 00002cc2 705 | fffffc3f 706 | fffff5a7 707 | fffff64b 708 | 0000209d 709 | ffffcb6b 710 | 00000464 711 | ffffd2f7 712 | 00002e12 713 | ffffef2c 714 | 000011ac 715 | ffffe37c 716 | 00002862 717 | 00001d1c 718 | ffffeb01 719 | ffffe6a9 720 | 00000f5e 721 | 00001eaf 722 | ffffdbde 723 | ffffc3af 724 | ffffff48 725 | ffffffb5 726 | ffffdb81 727 | ffffca7a 728 | 000017c1 729 | 00001781 730 | 00003747 731 | ffffdc9f 732 | ffffea22 733 | ffffc23f 734 | ffffe0e5 735 | ffffea74 736 | ffffe048 737 | ffffe5a8 738 | ffffd0ee 739 | ffffd634 740 | ffffd56a 741 | ffffcc35 742 | ffffefb0 743 | 00002993 744 | ffffd2d2 745 | fffffb8a 746 | 00003e1c 747 | ffffe6a8 748 | 00001a70 749 | 00001bb0 750 | ffffdb00 751 | 00002fd1 752 | 00003a36 753 | 00001955 754 | ffffcde7 755 | ffffc6d7 756 | 00001675 757 | 00003889 758 | 000017a7 759 | ffffe0c5 760 | ffffe999 761 | fffff344 762 | 00000091 763 | ffffc54b 764 | 00000453 765 | ffffc161 766 | ffffdc45 767 | ffffea19 768 | ffffdeaa 769 | 00000408 770 | 00000fbc 771 | 00002910 772 | ffffc704 773 | 00001ede 774 | 0000128c 775 | ffffe19b 776 | ffffe0ca 777 | ffffe4af 778 | 00000dd8 779 | ffffd656 780 | ffffd11f 781 | 00000915 782 | ffffd3cb 783 | fffff3af 784 | 000038fc 785 | 00000491 786 | fffff211 787 | 00001e66 788 | ffffc71b 789 | 00002c87 790 | 00001c10 791 | 00001969 792 | 00001899 793 | ffffc77f 794 | 00000a1c 795 | ffffe643 796 | ffffecc4 797 | fffff641 798 | 00003387 799 | ffffc7c2 800 | ffffccb3 801 | 00002fc2 802 | ffffc591 803 | 00000c6a 804 | 00002dee 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /w1_5.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | ffffe9fe 22 | ffffcbdf 23 | 0000086e 24 | fffff234 25 | ffffca58 26 | ffffe8ae 27 | 0000106c 28 | fffff99b 29 | 00001958 30 | ffffe4ce 31 | 00000129 32 | 0000057e 33 | ffffc082 34 | 000000b8 35 | fffff34e 36 | fffff62e 37 | ffffcd6f 38 | ffffdb2b 39 | 00001685 40 | 00001fd6 41 | ffffef91 42 | ffffd626 43 | ffffc68d 44 | ffffc9aa 45 | ffffc989 46 | ffffce34 47 | ffffd19d 48 | ffffc57e 49 | ffffc629 50 | 00000afb 51 | 0000199e 52 | 000031b2 53 | 00002ec8 54 | ffffe293 55 | ffffcf2a 56 | 00002fa1 57 | ffffcea5 58 | ffffd837 59 | ffffe737 60 | ffffc285 61 | 00003b66 62 | fffff5f7 63 | fffff524 64 | ffffcba2 65 | ffffe59b 66 | 00003bd8 67 | 00002c0d 68 | fffffe8d 69 | 00001d6a 70 | 0000224f 71 | fffff5b9 72 | 000017e8 73 | ffffd596 74 | 000007c4 75 | 00001072 76 | ffffd5a4 77 | ffffe89e 78 | fffff5b3 79 | fffff534 80 | 000025f0 81 | 00001b45 82 | ffffd54b 83 | 0000238f 84 | ffffe04f 85 | ffffe77d 86 | ffffe0d5 87 | ffffdf29 88 | 000018c1 89 | fffffaf4 90 | fffff12c 91 | 00000aca 92 | 00003fec 93 | 00003b11 94 | 00003c30 95 | 0000376a 96 | 0000224a 97 | ffffd643 98 | 000023ce 99 | fffff154 100 | 00003cb5 101 | fffff2d1 102 | fffff3ea 103 | 000034c2 104 | fffffae6 105 | 000011a6 106 | 000031c8 107 | 00000e5a 108 | 00003c20 109 | ffffde35 110 | 00003200 111 | 00002a2b 112 | 00000e05 113 | 0000332d 114 | 0000294e 115 | ffffe8c8 116 | 00000f3e 117 | ffffddb6 118 | 000004a2 119 | 00001335 120 | fffff592 121 | 00003b1d 122 | ffffd74c 123 | ffffe3ad 124 | 00001358 125 | 000031f8 126 | ffffc0be 127 | ffffee48 128 | 0000368a 129 | fffffa48 130 | ffffdee7 131 | ffffe04f 132 | ffffea8b 133 | 0000243b 134 | ffffd717 135 | 00000046 136 | 00002804 137 | 00003727 138 | 00000911 139 | ffffd17a 140 | fffff276 141 | 00001a97 142 | ffffd713 143 | fffff885 144 | ffffff87 145 | 00002e17 146 | 000054f7 147 | fffff70d 148 | 00001a3e 149 | ffffe7c2 150 | 00003598 151 | 00000193 152 | ffffe50a 153 | ffffcc76 154 | ffffd7ad 155 | fffff1ca 156 | ffffd65a 157 | ffffbefe 158 | fffff6dc 159 | 000023de 160 | 000033db 161 | ffffd745 162 | 00001e03 163 | 0000046f 164 | 000002ec 165 | 00002f98 166 | 0000278b 167 | 00001c7a 168 | ffffe2aa 169 | 00002f17 170 | 00000871 171 | 00004ec0 172 | 00002c8e 173 | 00000305 174 | 00000667 175 | 00005497 176 | 000011a5 177 | 00002147 178 | 00003a36 179 | 00001389 180 | 00002df9 181 | 0000341e 182 | 00000dbf 183 | ffffc6a6 184 | 000027bf 185 | 00003238 186 | fffffb23 187 | fffffa18 188 | 000030cf 189 | ffffeea1 190 | ffffe78d 191 | 00001a56 192 | 0000067f 193 | 0000015f 194 | 00000a02 195 | ffffc806 196 | 00004014 197 | 00002dd7 198 | fffff876 199 | 00002120 200 | 00000730 201 | 000037b2 202 | 0000114a 203 | 0000427b 204 | 000058bc 205 | fffff50a 206 | 00003846 207 | ffffeaa0 208 | 000000a2 209 | 00000551 210 | 000004b3 211 | 0000153a 212 | fffff243 213 | 000004eb 214 | ffffdc27 215 | ffffe095 216 | 000009a3 217 | fffffac4 218 | ffffd555 219 | ffffc8ec 220 | ffffe90e 221 | ffffca19 222 | 00003332 223 | 00000631 224 | fffff310 225 | ffffe8c6 226 | ffffd65a 227 | 00000650 228 | ffffe190 229 | 00002dfa 230 | ffffea1d 231 | ffffeb7a 232 | ffffe988 233 | ffffdca6 234 | ffffe5a9 235 | 00003879 236 | fffffbac 237 | 000009df 238 | 00003269 239 | ffffec13 240 | 00000f2e 241 | 000027f7 242 | ffffe93c 243 | 00000ff1 244 | 00002905 245 | 000033bc 246 | 00000f9d 247 | ffffd2e8 248 | 0000355e 249 | 00002756 250 | fffff2f9 251 | 00000137 252 | 00003966 253 | 0000219c 254 | ffffef0a 255 | 000020a4 256 | 00001927 257 | 00003527 258 | 000000c3 259 | 00001422 260 | 00003600 261 | 00003af0 262 | 00002572 263 | 00001188 264 | 00001506 265 | ffffe407 266 | 000027cc 267 | 000018bc 268 | 00002d1c 269 | ffffc69b 270 | 00001ec0 271 | 00001d25 272 | 000007e6 273 | 00002cdf 274 | 00000d14 275 | ffffe31d 276 | 0000198b 277 | 000009fc 278 | ffffc224 279 | 00001005 280 | 000017d3 281 | 0000205f 282 | 00002ad0 283 | 00002c6b 284 | 00003269 285 | ffffd11a 286 | 00001813 287 | 0000236f 288 | ffffcc80 289 | fffff827 290 | fffff81f 291 | ffffdb73 292 | ffffcf2f 293 | 0000397c 294 | ffffca1c 295 | fffffac9 296 | 00003e77 297 | 000033f4 298 | 000026fa 299 | fffff48d 300 | 00002dc7 301 | 00003e26 302 | 00003542 303 | ffffdfdb 304 | 00003e4c 305 | fffffb9f 306 | fffff3eb 307 | ffffce25 308 | 00000c8d 309 | ffffce13 310 | ffffd105 311 | ffffd18b 312 | 00000249 313 | fffff169 314 | fffff99c 315 | 00000fe5 316 | ffffd540 317 | 00001dfd 318 | 00001f96 319 | ffffd13d 320 | 0000343e 321 | ffffd91f 322 | 00001a8a 323 | 0000202a 324 | 000015db 325 | ffffdc27 326 | 00000035 327 | fffffa92 328 | ffffce44 329 | ffffe689 330 | 0000265e 331 | ffffe5dd 332 | ffffdaeb 333 | ffffe066 334 | ffffd824 335 | 00000f84 336 | ffffcedf 337 | fffffdf1 338 | 00000d2c 339 | ffffc69b 340 | 00001066 341 | 00002c39 342 | 00002ee9 343 | 00000306 344 | 000019d5 345 | ffffed56 346 | 00000f25 347 | fffff3a4 348 | ffffe4d8 349 | ffffdf87 350 | 0000080f 351 | 00002dda 352 | ffffef7c 353 | fffff26e 354 | fffff8a0 355 | ffffce61 356 | 00002a1a 357 | ffffdb32 358 | fffff176 359 | fffff629 360 | ffffdb4a 361 | ffffd9e5 362 | fffffa2c 363 | ffffc89c 364 | ffffe5dd 365 | ffffd90c 366 | 000011cd 367 | 000037c5 368 | fffff9ef 369 | 000033e7 370 | 00000619 371 | 000031b8 372 | 00000cd4 373 | ffffbb4d 374 | fffff7d0 375 | ffffb4e7 376 | 000001e1 377 | 00001d81 378 | ffffeedd 379 | 00001e63 380 | 000023c2 381 | 00002643 382 | fffffea4 383 | ffffda96 384 | fffff203 385 | 000028f4 386 | ffffca08 387 | ffffdace 388 | 00002df3 389 | ffffbf5a 390 | ffffe547 391 | ffffe365 392 | ffffde79 393 | ffffd4ff 394 | ffffcf9c 395 | ffffd1fb 396 | ffffdadb 397 | 00004fcd 398 | 00000af3 399 | 000056cd 400 | 00003476 401 | fffff897 402 | ffffc29a 403 | 000020fe 404 | 00000d65 405 | 00000cc1 406 | ffffb3c0 407 | 000034ea 408 | 0000451b 409 | ffffe2a6 410 | ffffc281 411 | 00001a89 412 | 00001c65 413 | ffffce2e 414 | 00003aa0 415 | ffffcd44 416 | 00002031 417 | ffffff30 418 | 00000fc5 419 | ffffd81c 420 | ffffefbc 421 | ffffc3aa 422 | 000010ef 423 | 00001a7b 424 | 00002a1c 425 | 00001cf9 426 | 00001dca 427 | 0000140a 428 | fffff220 429 | ffffc7e2 430 | 000022d8 431 | ffffb330 432 | ffffe9f3 433 | 00000fe6 434 | 00001b52 435 | ffffc76c 436 | ffffcb20 437 | 00001c58 438 | ffffdd80 439 | fffff48e 440 | 00003a6a 441 | ffffcbf3 442 | ffffd5da 443 | 00003844 444 | 000004fd 445 | ffffc5c5 446 | 000020ab 447 | 0000285a 448 | ffffcce3 449 | 00000ab7 450 | 00001111 451 | 00002779 452 | 00002031 453 | 000033e6 454 | 000000a3 455 | fffff9bc 456 | 00002d41 457 | fffff293 458 | ffffb2c2 459 | ffffddfe 460 | ffffdb70 461 | ffffe444 462 | 00002c88 463 | 0000175c 464 | 000022a5 465 | 00001a1a 466 | 000025ad 467 | 0000096a 468 | 00003870 469 | ffffe456 470 | 00001edc 471 | 00000fad 472 | ffffd72c 473 | ffffe5b8 474 | ffffd909 475 | ffffd8a8 476 | 00001df9 477 | ffffe2d2 478 | 000011d5 479 | ffffe1de 480 | ffffe23a 481 | 00001f16 482 | 00003387 483 | ffffc687 484 | ffffd491 485 | ffffc4cc 486 | fffffe55 487 | 00001700 488 | 00000e07 489 | 000023b8 490 | 0000278a 491 | fffffed4 492 | 000044b4 493 | 00000250 494 | 00003bd8 495 | ffffeb54 496 | ffffd451 497 | 00000a99 498 | fffff396 499 | 00000c09 500 | ffffccef 501 | ffffde5c 502 | fffffb67 503 | 000026cb 504 | fffffa01 505 | 000022eb 506 | fffffa3b 507 | 000005fd 508 | 0000015e 509 | fffffb2d 510 | 000029a1 511 | ffffd533 512 | ffffef42 513 | fffff4b9 514 | fffff2cb 515 | ffffd6da 516 | ffffe62c 517 | 000020b9 518 | 00000e89 519 | ffffd745 520 | fffffe0d 521 | ffffd4cb 522 | 00000221 523 | ffffd536 524 | ffffdffd 525 | ffffdb16 526 | fffff8bb 527 | ffffc55d 528 | fffff816 529 | 000010df 530 | 000029b3 531 | ffffd704 532 | 00002c0e 533 | ffffd959 534 | 000035f5 535 | ffffd726 536 | 000044dc 537 | 000027fa 538 | 00000cf0 539 | ffffe2ad 540 | ffffe737 541 | 00000667 542 | fffff450 543 | 00003e88 544 | ffffff0b 545 | fffffd4a 546 | 00002fae 547 | 00000733 548 | ffffff5c 549 | 000019c1 550 | ffffda2f 551 | fffff8d6 552 | ffffde8c 553 | ffffedfb 554 | ffffe919 555 | ffffd224 556 | 0000309d 557 | 00001ab9 558 | ffffe09e 559 | 00001580 560 | 000016f4 561 | fffff25c 562 | 000034f2 563 | 00002475 564 | 000041f7 565 | ffffdc9d 566 | 00000c4c 567 | fffff21e 568 | fffffcd4 569 | 000029f2 570 | 00003b16 571 | ffffe10c 572 | 00004a6a 573 | 00001ecd 574 | 000026d2 575 | fffffb4e 576 | 00001051 577 | 00002696 578 | 00001551 579 | 00001966 580 | ffffc453 581 | 00000219 582 | ffffc496 583 | 000004c7 584 | ffffcf5f 585 | 00000b49 586 | fffffe40 587 | 00005248 588 | ffffe75c 589 | 00003b95 590 | 0000522b 591 | 00000ad0 592 | fffff41b 593 | fffff291 594 | fffff62f 595 | fffff878 596 | 00001a7d 597 | 00001bc7 598 | 00000d9e 599 | 0000340f 600 | 00001a68 601 | 00000952 602 | 000022f0 603 | ffffe501 604 | 00000f2b 605 | 00001499 606 | ffffc332 607 | ffffd7b5 608 | ffffea1d 609 | ffffd8b0 610 | ffffd5fd 611 | ffffeea8 612 | ffffd284 613 | 00002cf9 614 | fffff734 615 | ffffd826 616 | fffffc62 617 | 00003777 618 | 0000440b 619 | 000009a9 620 | 00000360 621 | 00003e43 622 | 00003730 623 | 00003e4b 624 | 00003189 625 | 0000321a 626 | ffffea4a 627 | 0000317d 628 | 00000968 629 | ffffec93 630 | 00003a36 631 | 000044cd 632 | ffffd233 633 | fffffa9c 634 | ffffe1c1 635 | ffffebbc 636 | fffffeee 637 | 00002931 638 | 0000162e 639 | ffffdbdc 640 | 000006f6 641 | 000010f2 642 | 00002826 643 | fffffa2c 644 | 00001dae 645 | 00003bc7 646 | 00002cd7 647 | 000029b9 648 | 00004f9b 649 | ffffe7a4 650 | 0000156f 651 | fffff0d6 652 | 00001644 653 | ffffe8a0 654 | 00002899 655 | ffffda96 656 | 00002b1d 657 | ffffd3d3 658 | ffffcacc 659 | ffffdfd3 660 | ffffe5ed 661 | ffffde27 662 | 0000228d 663 | ffffd589 664 | fffffc25 665 | 00002cdb 666 | 00002a85 667 | 00003421 668 | 00003f04 669 | ffffe9f0 670 | ffffecf9 671 | fffff755 672 | ffffe674 673 | ffffce80 674 | 000018f6 675 | 00001a58 676 | 00003054 677 | 000030fb 678 | ffffee40 679 | 00003b05 680 | 000045eb 681 | ffffeb2f 682 | 00003361 683 | 0000334e 684 | 00002588 685 | 0000011a 686 | 00003e3f 687 | ffffe370 688 | 00003423 689 | fffff620 690 | ffffd6e8 691 | 00001c52 692 | 00002fe8 693 | ffffd3bd 694 | ffffe9b1 695 | ffffdaab 696 | ffffd0c0 697 | ffffc994 698 | fffffc3b 699 | 0000300e 700 | 000009ed 701 | fffff4cf 702 | fffffa62 703 | 00001876 704 | 00000fb0 705 | 00003b3f 706 | ffffd180 707 | ffffe112 708 | 00000e6a 709 | fffffa13 710 | ffffcf1e 711 | fffff907 712 | 000035e6 713 | ffffcad9 714 | 00000632 715 | ffffe868 716 | ffffd418 717 | fffff391 718 | ffffdf76 719 | ffffd329 720 | fffff807 721 | 00000160 722 | 00001772 723 | 00002d17 724 | fffffed0 725 | ffffda3f 726 | 00003249 727 | 000014ee 728 | ffffedfd 729 | ffffdd30 730 | fffff3a8 731 | ffffb63b 732 | fffffc1b 733 | fffffaa0 734 | 000028f2 735 | ffffee50 736 | 000009b3 737 | 00001606 738 | ffffdac1 739 | 000037d4 740 | ffffdb2b 741 | fffff473 742 | 00000c54 743 | ffffd22a 744 | ffffbff0 745 | 00001873 746 | ffffcd2e 747 | ffffeaf5 748 | 0000339d 749 | 00002dcb 750 | ffffe72b 751 | ffffde8b 752 | ffffd0f2 753 | fffffc89 754 | ffffce50 755 | fffff563 756 | fffffdd3 757 | fffffa2d 758 | ffffdab6 759 | ffffc260 760 | ffffe38e 761 | fffffa9a 762 | fffff0c8 763 | ffffe072 764 | ffffc12a 765 | ffffd164 766 | fffff193 767 | 0000173a 768 | ffffcef0 769 | ffffc76e 770 | ffffc61b 771 | ffffde11 772 | 000030f9 773 | ffffc4e0 774 | ffffc74e 775 | fffffc5f 776 | fffffc5e 777 | ffffdbb7 778 | 00001828 779 | 00000b96 780 | ffffce6a 781 | fffff465 782 | fffff3eb 783 | ffffc917 784 | ffffff4e 785 | 00003aca 786 | 0000371a 787 | ffffc567 788 | 000038fd 789 | ffffc84c 790 | 0000377d 791 | 0000167b 792 | 00002b28 793 | ffffd31a 794 | 00000145 795 | 00000a26 796 | 000026f7 797 | 000004eb 798 | fffffcab 799 | fffff078 800 | ffffdc74 801 | 00001907 802 | ffffdbe8 803 | 00000002 804 | ffffe0d5 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /w1_6.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | fffff129 22 | 00000a7f 23 | ffffff04 24 | 00000e9a 25 | ffffced4 26 | ffffedb3 27 | 00001158 28 | 00001b26 29 | fffffe0d 30 | ffffd053 31 | 0000159a 32 | 00003513 33 | ffffe940 34 | ffffdad9 35 | 00003953 36 | 0000018f 37 | 0000373b 38 | 000028b7 39 | 00002a6f 40 | 00003df3 41 | 0000245f 42 | 000005fa 43 | ffffe648 44 | 000007f3 45 | ffffc37e 46 | 00003855 47 | fffff0b4 48 | fffffc19 49 | ffffc4b9 50 | ffffe16c 51 | 00001f3c 52 | fffff785 53 | ffffe4e0 54 | ffffd127 55 | 000024b5 56 | fffff2a2 57 | 000007e0 58 | ffffd913 59 | 00003ed6 60 | fffffcdf 61 | ffffec4a 62 | 000004a6 63 | ffffe25a 64 | ffffdc1f 65 | 00000ade 66 | 000028f8 67 | fffffa1c 68 | 00000059 69 | ffffc764 70 | 000010e3 71 | ffffdeed 72 | ffffd3c6 73 | ffffea4e 74 | 0000004e 75 | 00003b17 76 | 0000353e 77 | ffffe636 78 | 00002b21 79 | 00001e15 80 | 00002dee 81 | 00003894 82 | ffffd4fa 83 | 00000fc8 84 | 00002afb 85 | fffff69e 86 | ffffd517 87 | fffff6a6 88 | 00001b91 89 | 00002769 90 | 000037a8 91 | ffffe31b 92 | ffffd926 93 | 00002ba0 94 | 00001175 95 | ffffd94a 96 | 00000998 97 | ffffc4a0 98 | 00003f52 99 | fffff1fb 100 | 000037f9 101 | 0000322f 102 | 00002f60 103 | 00000195 104 | ffffe4ff 105 | ffffc31b 106 | ffffd543 107 | fffff379 108 | 00002c48 109 | 000031d2 110 | 000032c8 111 | ffffe40a 112 | ffffc336 113 | 00000bb7 114 | 000001c3 115 | 00001ea9 116 | ffffcb3a 117 | 00003080 118 | ffffdfe7 119 | 00003be0 120 | 00003a10 121 | 000017ed 122 | ffffcb8b 123 | 00003d47 124 | 000038fc 125 | 00003e27 126 | ffffe137 127 | ffffc082 128 | ffffe89a 129 | fffffa4d 130 | ffffd968 131 | 000036e4 132 | ffffe5d2 133 | 00001b02 134 | fffff79b 135 | 00000682 136 | fffffdd1 137 | ffffe08f 138 | 00000423 139 | ffffd7d3 140 | 00001e39 141 | 00003e83 142 | ffffd60d 143 | ffffef3e 144 | 000033a2 145 | 00001aad 146 | fffff710 147 | 00001009 148 | 000009af 149 | 00003027 150 | 0000187d 151 | ffffd78a 152 | fffffb97 153 | 000021fc 154 | ffffc5f8 155 | 00003c9e 156 | fffff695 157 | ffffd2a8 158 | 00002b2b 159 | ffffcf6e 160 | 00003385 161 | ffffe12b 162 | fffff863 163 | 000035ac 164 | 0000284a 165 | fffff0e6 166 | fffff431 167 | 000001bf 168 | 00001a84 169 | ffffc959 170 | 000024bd 171 | ffffeacd 172 | ffffc826 173 | ffffea11 174 | 000016b3 175 | ffffd19d 176 | 00003b48 177 | fffff2bf 178 | ffffc04f 179 | ffffe2b6 180 | 0000126e 181 | ffffd978 182 | fffffd6f 183 | ffffc55c 184 | ffffefe3 185 | fffffbc1 186 | 00002bc8 187 | 000023bf 188 | ffffdbee 189 | 00002742 190 | fffff6a1 191 | fffff8aa 192 | 000025dc 193 | 00001a93 194 | 000026d6 195 | ffffc5e3 196 | 00003300 197 | 00000886 198 | ffffcb1d 199 | 00000bd1 200 | 00003813 201 | 00000094 202 | 00002906 203 | 00000853 204 | ffffd81f 205 | ffffd056 206 | ffffe64b 207 | 00000ca0 208 | 000027ea 209 | 0000247a 210 | 00002d93 211 | 00001a14 212 | ffffdc47 213 | ffffff32 214 | ffffe1dd 215 | 00003797 216 | 000007c8 217 | 00001588 218 | ffffcd8d 219 | ffffc083 220 | fffff2ba 221 | 00002e2f 222 | fffff245 223 | ffffe5b4 224 | 000000f2 225 | ffffe5d9 226 | 00000004 227 | ffffcd84 228 | 000018ae 229 | 00000e26 230 | ffffcf3a 231 | 00001a77 232 | ffffc856 233 | 00003553 234 | ffffe71e 235 | ffffd49a 236 | ffffd5db 237 | 000015f7 238 | fffffca3 239 | ffffd236 240 | ffffd9fa 241 | ffffef88 242 | fffff283 243 | 00000438 244 | 00001761 245 | fffff86f 246 | 000027cd 247 | ffffd24c 248 | ffffdb51 249 | fffff7cc 250 | 000016b0 251 | ffffdf8e 252 | fffff56e 253 | fffffa8d 254 | ffffe33c 255 | ffffcebd 256 | 00002aac 257 | ffffbb6f 258 | 00002a68 259 | ffffda40 260 | 00000822 261 | 0000250a 262 | ffffd8df 263 | fffff75f 264 | fffff011 265 | ffffed35 266 | 000039bb 267 | 0000214d 268 | ffffd4e5 269 | fffff66c 270 | 00000970 271 | 00001fa3 272 | ffffff48 273 | 00001ba1 274 | 0000070a 275 | fffff8b6 276 | 00000a04 277 | 00001e73 278 | 00002aec 279 | ffffd626 280 | ffffbf5f 281 | 0000254e 282 | ffffe662 283 | ffffe49d 284 | 0000324d 285 | ffffd247 286 | ffffe167 287 | 000025d1 288 | fffffdca 289 | 000002ee 290 | 0000253f 291 | 00001df5 292 | 000028b6 293 | 000026be 294 | 000019d4 295 | ffffe970 296 | ffffd99e 297 | 00004374 298 | ffffec2f 299 | ffffd08d 300 | ffffd2b2 301 | ffffd990 302 | ffffc2c8 303 | 0000155e 304 | ffffe78f 305 | 000028f3 306 | ffffe948 307 | 0000121a 308 | 00002aa1 309 | ffffbdbd 310 | ffffce51 311 | fffff162 312 | 000015c7 313 | 000008d2 314 | 0000396e 315 | 000002eb 316 | 00000a38 317 | 00004568 318 | ffffcbb6 319 | 0000338d 320 | ffffe7c3 321 | 000023b6 322 | 00001bf0 323 | fffff6a6 324 | ffffe6e6 325 | 00000765 326 | 00001d21 327 | 000036bf 328 | ffffe6d9 329 | fffff2ce 330 | 00002c03 331 | ffffe4c6 332 | 00001448 333 | ffffdd44 334 | ffffe6e1 335 | 00003624 336 | 000011a9 337 | 000002c3 338 | ffffc62c 339 | fffff1c4 340 | fffff699 341 | 00001688 342 | 00000d13 343 | 00002f90 344 | 000038f5 345 | ffffcbdc 346 | 00000ccd 347 | ffffdcb4 348 | fffffd2a 349 | ffffef30 350 | ffffcde8 351 | 00000f4c 352 | fffff3c2 353 | ffffe7ac 354 | ffffdf48 355 | ffffe51a 356 | 00001709 357 | fffffba1 358 | ffffd614 359 | 0000151e 360 | ffffe1b9 361 | 0000056f 362 | 00003893 363 | ffffd288 364 | ffffc000 365 | 0000088c 366 | fffff25a 367 | ffffeecc 368 | ffffe559 369 | ffffda6a 370 | 00002e7b 371 | fffff986 372 | fffff6e5 373 | ffffcebb 374 | 00000de0 375 | ffffc011 376 | 00000a31 377 | ffffb9a2 378 | 0000029c 379 | 00001b92 380 | ffffbe88 381 | ffffd3df 382 | ffffc9cb 383 | ffffe438 384 | 00000562 385 | ffffe692 386 | fffff082 387 | 0000285f 388 | 000035f9 389 | 00001dbd 390 | 00001929 391 | ffffe799 392 | fffffe2e 393 | 0000021e 394 | 000030ce 395 | 00001cce 396 | 00002c20 397 | 000032f6 398 | ffffdd7c 399 | 00002641 400 | fffff173 401 | 00000a22 402 | ffffda54 403 | ffffdb73 404 | ffffbc33 405 | ffffe795 406 | 00002632 407 | ffffe005 408 | ffffcebf 409 | 000008f6 410 | 000038ee 411 | 00003f02 412 | 00002f76 413 | fffff314 414 | 00000e09 415 | 0000011c 416 | 00001dc6 417 | ffffd57f 418 | ffffc450 419 | fffff9de 420 | 00002f95 421 | ffffd213 422 | 0000123d 423 | ffffcfb7 424 | ffffdd81 425 | 000044dc 426 | ffffd291 427 | ffffd8a4 428 | 0000055d 429 | 00000acd 430 | ffffe754 431 | 0000125b 432 | 000005d5 433 | 0000046a 434 | ffffecbe 435 | ffffbd55 436 | fffffbc6 437 | ffffd81d 438 | fffff5d6 439 | ffffdb02 440 | 00002a59 441 | ffffd74c 442 | fffffec4 443 | 00000464 444 | fffffd3d 445 | ffffcc80 446 | 00001366 447 | ffffe97e 448 | fffff4bf 449 | ffffd773 450 | 000021b6 451 | 00002099 452 | 0000104c 453 | 00003d2b 454 | fffff58e 455 | ffffd485 456 | ffffcbfd 457 | ffffdf5d 458 | 000024ec 459 | ffffe277 460 | fffffdf7 461 | fffff032 462 | ffffd08f 463 | 00001178 464 | 00002f02 465 | ffffc35e 466 | fffffe19 467 | 0000171e 468 | fffffc88 469 | ffffd730 470 | ffffcd7e 471 | 0000022c 472 | ffffef45 473 | ffffef91 474 | 00000732 475 | ffffe58c 476 | ffffcbd7 477 | ffffb495 478 | 00001ca6 479 | ffffd46a 480 | 00001659 481 | 00001eba 482 | fffff9f8 483 | 00000163 484 | 000040e1 485 | ffffdc2a 486 | ffffd361 487 | 00000e9b 488 | 00003193 489 | ffffc7bf 490 | ffffcf1c 491 | ffffd85c 492 | fffffb04 493 | 000000cc 494 | ffffdd00 495 | 000038cb 496 | 00002cab 497 | 00003906 498 | 00002186 499 | fffff828 500 | 00002282 501 | ffffd369 502 | 00001aaf 503 | ffffcc25 504 | ffffea30 505 | ffffba92 506 | 000002c2 507 | fffff654 508 | ffffe135 509 | ffffe53a 510 | 0000411e 511 | 00002fca 512 | 00001029 513 | ffffd326 514 | 000020b4 515 | ffffc351 516 | ffffdca8 517 | fffff9fc 518 | ffffe72d 519 | ffffdfc6 520 | 000014c6 521 | fffff3c3 522 | 00002fd3 523 | 00000d17 524 | ffffc8fd 525 | 00001123 526 | 000030cb 527 | 000025b3 528 | 000040d6 529 | 0000322a 530 | fffff1ce 531 | 00004191 532 | ffffea99 533 | 00002c4e 534 | ffffc61f 535 | 00000107 536 | fffffaf8 537 | fffffd4f 538 | 00000c43 539 | ffffebe4 540 | fffff49c 541 | ffffdcce 542 | ffffda5a 543 | fffffc3c 544 | fffffbf3 545 | 00002ebe 546 | fffff099 547 | fffffa36 548 | ffffda43 549 | 00002596 550 | ffffcf77 551 | 00000a61 552 | 00001065 553 | 00001f74 554 | ffffc27f 555 | 0000260d 556 | 00000ca2 557 | ffffdfdf 558 | 00002980 559 | 00001137 560 | 00002ae4 561 | ffffe702 562 | 00002e12 563 | 000014cd 564 | ffffe1b8 565 | ffffdd79 566 | 00003740 567 | 000008d8 568 | 00001021 569 | 000005fb 570 | 0000393b 571 | 00000656 572 | ffffd5b5 573 | ffffc0e9 574 | ffffd2ab 575 | ffffce63 576 | 00001b23 577 | 00002136 578 | 00003efc 579 | 00000378 580 | 000037d4 581 | fffffd16 582 | ffffcb88 583 | ffffcd91 584 | ffffc66a 585 | 00001f49 586 | ffffdb63 587 | 0000448b 588 | 0000486e 589 | fffff8e2 590 | 00003058 591 | 00004b4c 592 | fffffe71 593 | 0000332e 594 | 00003b25 595 | 00003f74 596 | fffff6f9 597 | fffffd6e 598 | ffffe3af 599 | ffffc66b 600 | 000026bb 601 | ffffdf1b 602 | 00000620 603 | 000015b4 604 | 00002127 605 | 00001483 606 | 000011af 607 | ffffc811 608 | ffffd9c4 609 | fffffb89 610 | ffffdd32 611 | 00002a04 612 | 00000b8a 613 | ffffd1ba 614 | ffffd595 615 | 00002294 616 | 000009ed 617 | 00001ef5 618 | ffffe02b 619 | 00000940 620 | 00002a7e 621 | ffffe868 622 | 000037ad 623 | ffffcfc8 624 | 00000c37 625 | 00002350 626 | ffffddac 627 | fffffa92 628 | 00002173 629 | ffffcb46 630 | 00003982 631 | 00002fcc 632 | fffff963 633 | ffffd5cb 634 | 00003b5c 635 | ffffda81 636 | ffffcca5 637 | 00002695 638 | 0000355b 639 | ffffef74 640 | ffffc5a2 641 | ffffd21c 642 | 000013b7 643 | ffffd899 644 | 00000abb 645 | 00002b79 646 | ffffd973 647 | ffffecd2 648 | ffffbcea 649 | ffffe6bf 650 | ffffd939 651 | ffffdd83 652 | ffffd7c3 653 | ffffcd44 654 | ffffc6ed 655 | 00001a42 656 | 000010b0 657 | 00003a37 658 | fffff548 659 | 00002f29 660 | fffffec4 661 | 0000017c 662 | 000035f1 663 | 00002b33 664 | ffffc7d7 665 | ffffef70 666 | ffffcb23 667 | 000024ba 668 | fffffbd5 669 | 000015d2 670 | 0000163e 671 | fffff022 672 | ffffed46 673 | 000033d0 674 | ffffe562 675 | ffffd119 676 | 00002219 677 | 00000c62 678 | fffff3a1 679 | 0000103e 680 | 00001b6e 681 | 000022a6 682 | fffff6bb 683 | 000005e4 684 | ffffc32d 685 | ffffc4a3 686 | 00001598 687 | 00003009 688 | 00003a88 689 | 000019f5 690 | fffffb65 691 | ffffec36 692 | 000020f3 693 | 00001b17 694 | ffffc6df 695 | 00001b79 696 | 00000bb2 697 | 00001495 698 | 0000260f 699 | 00003d6e 700 | ffffbff1 701 | 00001be1 702 | ffffc0bd 703 | 0000357a 704 | ffffea69 705 | ffffeb6a 706 | 00000749 707 | 00002b1a 708 | fffff52c 709 | ffffccc1 710 | 000030ab 711 | 000033c4 712 | 000008bc 713 | ffffcc9f 714 | ffffeff7 715 | 000019f0 716 | fffff65a 717 | ffffe2c2 718 | ffffc5ed 719 | ffffc0ec 720 | ffffd29c 721 | ffffc6c5 722 | ffffce1a 723 | 000030c4 724 | 00001bd5 725 | ffffc40a 726 | ffffcee5 727 | 00000079 728 | 00001a18 729 | 000023b3 730 | ffffec81 731 | ffffdc96 732 | fffff649 733 | 000037db 734 | 0000035f 735 | 000039ce 736 | ffffc217 737 | 00002eac 738 | ffffe6f3 739 | 00000541 740 | 000012b7 741 | 000004b9 742 | 000005b5 743 | ffffe148 744 | 00000861 745 | ffffc2d4 746 | 00000d0c 747 | fffff4f0 748 | 00000f89 749 | 00000c33 750 | ffffc7c5 751 | ffffcf36 752 | ffffec31 753 | 00001911 754 | ffffc16f 755 | 00002ebd 756 | ffffc715 757 | 0000287c 758 | ffffe497 759 | 00002bfd 760 | ffffde4b 761 | ffffd3c6 762 | ffffe766 763 | fffffda3 764 | 000029ec 765 | 00001042 766 | ffffe479 767 | 00000635 768 | ffffdc60 769 | ffffc3e5 770 | ffffef1d 771 | 00001fa6 772 | 00002728 773 | ffffe9a8 774 | ffffded6 775 | ffffe813 776 | 00000cd7 777 | 00001483 778 | 00003c82 779 | 0000123f 780 | 00001d7e 781 | 000036d1 782 | 00000914 783 | fffff8af 784 | 000020e7 785 | ffffd825 786 | ffffe26c 787 | ffffdfab 788 | 00003499 789 | ffffdb02 790 | 00003af9 791 | 000029ef 792 | ffffde3f 793 | 00001db6 794 | 0000129e 795 | 00000a36 796 | 00000c33 797 | 000014dc 798 | fffff64e 799 | 00003033 800 | ffffc676 801 | 000011ef 802 | ffffe290 803 | 0000121d 804 | ffffd91d 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /w1_7.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | 000038e8 22 | ffffe1ff 23 | 00001c95 24 | ffffe856 25 | 00001045 26 | ffffe492 27 | fffff699 28 | fffff650 29 | fffffabd 30 | 00000e4b 31 | fffff97c 32 | 000001f3 33 | 00000353 34 | 00002a59 35 | 000005d2 36 | fffff58a 37 | 000039ce 38 | ffffcb49 39 | 00003188 40 | 0000028b 41 | 00001cc5 42 | 00003ae5 43 | 000005ab 44 | 00002639 45 | 000014da 46 | ffffce58 47 | 00001a07 48 | 00003840 49 | ffffc874 50 | 00001e85 51 | 000003fa 52 | 000013f7 53 | fffffd4a 54 | ffffe22f 55 | ffffdf93 56 | 00000771 57 | 0000134a 58 | 00001122 59 | fffff830 60 | 0000059e 61 | fffffcbd 62 | ffffc413 63 | ffffeeea 64 | fffffb58 65 | ffffc739 66 | 00002bea 67 | 00003c6c 68 | 000026d6 69 | fffffcde 70 | 00002992 71 | 00001661 72 | 00000e8b 73 | 00000c7d 74 | ffffd358 75 | 00001894 76 | 00000613 77 | 000017f4 78 | 00003815 79 | 00003e51 80 | 00003ba8 81 | ffffdfb0 82 | 00001c91 83 | 000003e9 84 | ffffedb1 85 | 000007d9 86 | 00002e2c 87 | ffffd652 88 | ffffe658 89 | 00002969 90 | ffffdcd1 91 | 00000fd1 92 | ffffcb32 93 | 000008aa 94 | ffffd121 95 | 000021bc 96 | fffffbb3 97 | 000006b1 98 | 000023ed 99 | 00000c8e 100 | 00001b02 101 | ffffc60c 102 | 00003963 103 | 00001ad9 104 | ffffe2af 105 | 000006e3 106 | 00000f46 107 | 00003425 108 | 00000b77 109 | fffff182 110 | ffffefc6 111 | 000010e0 112 | 0000322a 113 | fffff96e 114 | ffffe094 115 | ffffdc6a 116 | ffffdafb 117 | ffffd93c 118 | 0000454e 119 | fffff04f 120 | 00001c82 121 | ffffdd02 122 | 000028c0 123 | fffff960 124 | 00003c1b 125 | 00003b69 126 | ffffd132 127 | ffffffd9 128 | ffffd066 129 | 00000036 130 | 00000200 131 | ffffc6f7 132 | 000003a3 133 | ffffc791 134 | 000000ee 135 | 00003e8e 136 | 00000e79 137 | ffffe62f 138 | 000022a8 139 | ffffcf8d 140 | fffffedc 141 | ffffdb4c 142 | ffffd043 143 | ffffffa0 144 | 00004b08 145 | fffff6de 146 | ffffded7 147 | 00003148 148 | 00002fdd 149 | ffffed23 150 | 00001163 151 | 00003272 152 | 0000310f 153 | ffffdcad 154 | fffffe57 155 | ffffe229 156 | fffff1de 157 | 000017f5 158 | 00000c41 159 | ffffe1bd 160 | fffff21a 161 | fffff283 162 | 000015ae 163 | 000016e3 164 | 000020ca 165 | 00002e42 166 | 000030da 167 | fffff592 168 | 00001b08 169 | 000036a2 170 | 00001c48 171 | 00005598 172 | ffffe320 173 | ffffeb7c 174 | 00002de8 175 | 0000096e 176 | 0000369c 177 | ffffd73f 178 | fffffbe5 179 | 00001f03 180 | ffffea8a 181 | 000019d3 182 | ffffd995 183 | 00001218 184 | 0000380e 185 | 00000178 186 | ffffe2a1 187 | 000003f2 188 | ffffeec8 189 | 00002beb 190 | 00000b88 191 | ffffcca6 192 | ffffed12 193 | ffffd043 194 | ffffdd61 195 | fffff76f 196 | fffff3d3 197 | 00003852 198 | fffffd8b 199 | 00003088 200 | 00004b31 201 | fffff227 202 | 00002a75 203 | 000034ce 204 | fffff69e 205 | 00002e0c 206 | ffffdec9 207 | 00001840 208 | 0000366b 209 | 0000405d 210 | ffffeef5 211 | 000011e5 212 | 00002eb6 213 | ffffe011 214 | 000018f1 215 | ffffc369 216 | 000038cd 217 | 00003237 218 | 000030eb 219 | 00000ac6 220 | 00003ca2 221 | 000032be 222 | 000028a7 223 | fffff591 224 | 00000f50 225 | 00000aa6 226 | 00003f0d 227 | ffffd93b 228 | 00000062 229 | 00000bc8 230 | 000018aa 231 | ffffeba1 232 | 00000620 233 | fffff0ea 234 | 00000752 235 | 00000478 236 | 000002cb 237 | ffffcf61 238 | ffffcaa2 239 | ffffdc9b 240 | 00001a4f 241 | ffffcb18 242 | ffffcddd 243 | ffffd6e5 244 | 000011f7 245 | ffffeeb9 246 | 0000223c 247 | fffff0ad 248 | fffffb2b 249 | ffffc9d8 250 | 000005eb 251 | 000022c3 252 | 00003d07 253 | ffffd072 254 | ffffde0a 255 | 0000104f 256 | fffffb1d 257 | ffffee20 258 | 00001115 259 | 00003561 260 | fffffa06 261 | 00001bd5 262 | ffffdf7e 263 | 00001b6e 264 | 00002280 265 | fffff872 266 | 00001676 267 | 00002757 268 | ffffd920 269 | 00002248 270 | 00001694 271 | ffffd779 272 | 000037f8 273 | ffffd43d 274 | 000037de 275 | 00002f1b 276 | fffff53f 277 | 000009a3 278 | ffffec09 279 | 00001939 280 | 00001143 281 | 00002003 282 | ffffc4ea 283 | ffffd256 284 | ffffe284 285 | ffffd611 286 | ffffe63a 287 | 00001dc2 288 | ffffe862 289 | fffff522 290 | 00002791 291 | ffffd605 292 | ffffde0e 293 | ffffd7ca 294 | ffffe358 295 | 0000091e 296 | 00002e16 297 | 000029b8 298 | 00001187 299 | fffff774 300 | 00001604 301 | 000038ee 302 | ffffd5f9 303 | 000013a7 304 | 000013f0 305 | 00002125 306 | ffffdeed 307 | ffffe305 308 | ffffc1ff 309 | ffffbd7d 310 | ffffe607 311 | ffffd08a 312 | ffffbd63 313 | ffffeebe 314 | ffffbe66 315 | 00003a51 316 | 0000125b 317 | fffffb79 318 | 00002533 319 | 00002e14 320 | 00000174 321 | ffffe50d 322 | ffffdf49 323 | ffffc88f 324 | ffffd5d6 325 | 00003267 326 | ffffc658 327 | ffffd0f6 328 | ffffd817 329 | ffffe430 330 | ffffeb6e 331 | fffffdb6 332 | ffffd37a 333 | 00002340 334 | 0000291f 335 | 000003ff 336 | 00001e16 337 | 00000e1c 338 | ffffb81c 339 | 000028ce 340 | ffffe03f 341 | 0000114b 342 | ffffe52b 343 | 0000060c 344 | 00004841 345 | ffffdf91 346 | 000001f4 347 | fffff671 348 | 0000228f 349 | ffffdbd2 350 | ffffc393 351 | 000004e1 352 | 00002273 353 | 00003488 354 | ffffe4c3 355 | ffffea70 356 | 000018b3 357 | ffffc6e5 358 | 0000309c 359 | ffffff63 360 | 00002012 361 | ffffd1e7 362 | ffffec3c 363 | ffffd6cd 364 | 00002431 365 | ffffc2ce 366 | ffffc752 367 | ffffc846 368 | 000014e0 369 | ffffe435 370 | 00002d59 371 | ffffd249 372 | ffffd93a 373 | 00003bf3 374 | ffffdc6a 375 | ffffd69a 376 | ffffd89f 377 | 00000914 378 | ffffd1c7 379 | ffffcb53 380 | ffffd37a 381 | 00000be0 382 | ffffefa0 383 | ffffdfc4 384 | 00001295 385 | 00003f33 386 | ffffe22c 387 | 00001959 388 | ffffe0a0 389 | 00002ac0 390 | ffffcd90 391 | ffffef0c 392 | ffffc8fe 393 | fffff3d9 394 | 000003e2 395 | 00002fdc 396 | ffffd012 397 | fffff43c 398 | ffffe5dc 399 | 000046a7 400 | ffffe24c 401 | fffffe30 402 | 000011e8 403 | 00003fc7 404 | 000034c5 405 | ffffe41a 406 | fffffb87 407 | fffff223 408 | ffffed81 409 | ffffc8c3 410 | ffffe3eb 411 | 00000d6c 412 | ffffe5b4 413 | 0000238d 414 | 00003a4d 415 | 00002d1c 416 | ffffc097 417 | 00000312 418 | ffffe596 419 | 0000036f 420 | ffffd67a 421 | 000036ea 422 | 000031fc 423 | 0000064b 424 | 00003abc 425 | 00000899 426 | ffffd3ac 427 | 000015dc 428 | ffffe9ff 429 | 0000066c 430 | 00003959 431 | ffffd84c 432 | fffff96f 433 | ffffe986 434 | 0000116b 435 | 00002e4e 436 | 00000c80 437 | ffffc79b 438 | 00003e23 439 | ffffde4e 440 | ffffc6ca 441 | ffffce8d 442 | 00000b4a 443 | 000001c0 444 | 00003de8 445 | ffffca35 446 | ffffeb1e 447 | ffffc0a0 448 | 0000170e 449 | ffffd3b8 450 | 000021b5 451 | 00000f94 452 | 00002b26 453 | 0000262c 454 | 000035ee 455 | ffffe4e8 456 | 00001850 457 | ffffd0af 458 | 00003a7c 459 | 00003eeb 460 | ffffde1d 461 | ffffdf5c 462 | ffffeb8d 463 | fffff9b2 464 | ffffca08 465 | 0000083c 466 | 00000a8f 467 | ffffcfa7 468 | 000034c1 469 | 00003494 470 | ffffca59 471 | 000018b7 472 | 00000389 473 | ffffc2de 474 | ffffed10 475 | 0000142e 476 | fffffab7 477 | 0000101e 478 | 000019a0 479 | ffffc1fb 480 | ffffb3dc 481 | 00001f6d 482 | ffffbb63 483 | ffffddec 484 | 0000052a 485 | 0000258c 486 | 00000357 487 | 000014bf 488 | 0000096e 489 | ffffddba 490 | 000001c4 491 | 000044e2 492 | ffffdb43 493 | ffffc909 494 | 00003390 495 | ffffe4d4 496 | ffffc8e3 497 | 000028db 498 | 00002e56 499 | 00000cf0 500 | 00001337 501 | ffffe4bd 502 | 00002450 503 | 00002fa2 504 | 00002027 505 | fffffc15 506 | 000017ba 507 | ffffd882 508 | ffffbe79 509 | ffffd625 510 | ffffbee7 511 | 000014c1 512 | ffffe52a 513 | ffffe8dc 514 | 000008ec 515 | 000014fd 516 | 00002a8f 517 | 0000485d 518 | ffffedf5 519 | ffffe7b0 520 | ffffd956 521 | ffffcbf8 522 | 00001db9 523 | 00000d9b 524 | fffffd16 525 | ffffe12b 526 | ffffecf6 527 | ffffe4fd 528 | 00003dac 529 | 00003de4 530 | 00000622 531 | 00003424 532 | 0000321a 533 | ffffbef0 534 | fffff21c 535 | ffffdb24 536 | ffffc4ce 537 | 0000297b 538 | ffffdaf3 539 | ffffda14 540 | ffffceff 541 | 00002ff5 542 | 0000177f 543 | 0000098e 544 | ffffcf5e 545 | 00000d1c 546 | 00001138 547 | 00000ec6 548 | 00004154 549 | 000001c5 550 | 00003e5b 551 | ffffcff7 552 | 00001222 553 | ffffdae5 554 | ffffc7b4 555 | 0000116c 556 | 00002920 557 | ffffffc2 558 | 00002e55 559 | ffffd749 560 | ffffee7a 561 | 00003010 562 | ffffd6d0 563 | 00001a47 564 | fffff282 565 | fffff99b 566 | fffffae2 567 | fffff18c 568 | fffff979 569 | 000003d4 570 | 000027c4 571 | fffff1ea 572 | 00004701 573 | 0000339c 574 | 000031df 575 | 00000fc0 576 | 00003191 577 | 00000088 578 | 000038bb 579 | 00001826 580 | fffffad7 581 | fffff770 582 | 000000ce 583 | 00003d71 584 | 0000400c 585 | ffffcf7a 586 | 0000186e 587 | fffff005 588 | ffffda27 589 | fffff2ec 590 | 000022a5 591 | fffff26a 592 | ffffd0dd 593 | ffffec29 594 | 00003ae1 595 | ffffe282 596 | ffffea2c 597 | 00001814 598 | 000021eb 599 | 00003c7c 600 | 00000a3a 601 | 00002159 602 | fffff5ef 603 | ffffe55b 604 | 00000a30 605 | ffffc667 606 | 000032d7 607 | fffffa60 608 | ffffe2b5 609 | 00003f6a 610 | 00001ca3 611 | ffffeb95 612 | 00003de4 613 | 000011af 614 | 00001500 615 | 00000df0 616 | 0000577e 617 | 0000209a 618 | ffffce89 619 | 00003e0e 620 | ffffd13d 621 | ffffce4d 622 | 00003c3c 623 | 0000332c 624 | fffff6e1 625 | 00004551 626 | 00000867 627 | ffffdf69 628 | 00003860 629 | 000011bf 630 | fffff023 631 | fffff08b 632 | ffffebaf 633 | 00001a9b 634 | ffffef36 635 | fffff5e8 636 | 00000d5b 637 | ffffc652 638 | ffffe13e 639 | ffffc33f 640 | ffffd2b7 641 | 00004710 642 | ffffde25 643 | 00004fcc 644 | 00001b6b 645 | ffffef42 646 | ffffe68b 647 | 000019d2 648 | 000038a9 649 | 00001c17 650 | fffffa4a 651 | ffffce32 652 | 00002332 653 | fffffc7e 654 | 0000196c 655 | 00002c2e 656 | 00003df0 657 | 00001060 658 | 00002250 659 | 0000057e 660 | 00000b95 661 | ffffe390 662 | ffffc0d5 663 | fffff320 664 | ffffcf01 665 | fffff65a 666 | ffffdf2d 667 | ffffe58f 668 | fffff619 669 | 00003c1b 670 | ffffe0fe 671 | 00000e82 672 | 00000bac 673 | ffffdc50 674 | 0000023b 675 | 000002a0 676 | 000016a2 677 | ffffcfc8 678 | 000028c8 679 | ffffbdb6 680 | 00000053 681 | 00000213 682 | ffffbbe3 683 | ffffcd82 684 | ffffd308 685 | ffffdd98 686 | ffffd1fa 687 | 000037d8 688 | ffffe68f 689 | ffffd4eb 690 | ffffc576 691 | ffffd4c7 692 | 00000aa2 693 | 00001eb6 694 | 00002484 695 | 00003154 696 | 00003189 697 | fffffa1e 698 | 00001747 699 | fffff44a 700 | ffffdb03 701 | ffffdd89 702 | 00004966 703 | ffffe896 704 | 000029af 705 | 00000383 706 | 000026bc 707 | fffff685 708 | ffffcbc0 709 | fffff0f3 710 | 000022a9 711 | ffffeaf4 712 | ffffe8bb 713 | ffffe4da 714 | 000008ac 715 | 0000343e 716 | 000011d3 717 | 00001cc2 718 | 00000819 719 | 00003c99 720 | fffff75d 721 | 000005c6 722 | fffff438 723 | ffffe7d9 724 | ffffd533 725 | ffffdbce 726 | 00001dcb 727 | ffffc254 728 | ffffe157 729 | 00002a81 730 | 0000148c 731 | ffffd12e 732 | 00000e5f 733 | ffffc996 734 | 0000320d 735 | fffffda2 736 | ffffe3a6 737 | ffffcd52 738 | 000027f4 739 | 000013e6 740 | 00002216 741 | 000033c0 742 | fffffa9f 743 | 0000131e 744 | 00000a1c 745 | 00003a67 746 | ffffe92d 747 | ffffcc66 748 | 00001392 749 | fffffdfd 750 | ffffcf0d 751 | 00001dcd 752 | 00000dc4 753 | 00003c15 754 | fffff3b9 755 | 00001ac3 756 | ffffc58f 757 | fffff1ed 758 | 00000c37 759 | ffffd503 760 | ffffe46d 761 | 0000305c 762 | 00001d99 763 | 00000413 764 | 00002edb 765 | 000025b0 766 | ffffd1b0 767 | 00001d4d 768 | 000025cb 769 | ffffdee0 770 | 00001eba 771 | 00002627 772 | 00001ab0 773 | ffffc20e 774 | ffffef91 775 | 00003f52 776 | 0000347b 777 | ffffeb8f 778 | ffffcd36 779 | ffffd281 780 | 00001a6c 781 | ffffeb54 782 | 00000bf0 783 | 00000006 784 | ffffeac9 785 | ffffc25c 786 | ffffe552 787 | ffffc372 788 | 00003a46 789 | ffffcf3d 790 | 000013aa 791 | 000011db 792 | ffffdd86 793 | 00002244 794 | 00000889 795 | ffffdf76 796 | 00003e1f 797 | ffffcd0a 798 | 000019c4 799 | 0000353e 800 | 000014a3 801 | 00001300 802 | ffffc755 803 | 000012e4 804 | ffffd16d 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /w1_8.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | ffffe790 22 | ffffdeca 23 | fffff638 24 | 00000c8f 25 | 00000be1 26 | ffffea05 27 | ffffc93d 28 | 00001680 29 | ffffe144 30 | ffffd4ca 31 | 000017cc 32 | 00001b74 33 | ffffced9 34 | ffffde1e 35 | 00003541 36 | ffffec17 37 | 00003639 38 | 00003c20 39 | 00001c25 40 | 00002260 41 | ffffdbc4 42 | ffffd909 43 | 0000362d 44 | 00001c5f 45 | 00003908 46 | 00001fa9 47 | 000038e8 48 | ffffd7f8 49 | ffffe95c 50 | 000022ca 51 | 0000335b 52 | 00002c9d 53 | ffffcb3a 54 | fffff843 55 | fffff47f 56 | 000029e3 57 | 00003dc7 58 | 0000257a 59 | ffffc3a3 60 | ffffd65a 61 | ffffc997 62 | 00000c40 63 | ffffcfda 64 | 00000857 65 | 000026e0 66 | 000029e9 67 | 00002b80 68 | 00003d5a 69 | ffffe2eb 70 | 00002c0f 71 | fffffd0a 72 | fffff5b8 73 | ffffe0e7 74 | ffffd28d 75 | fffff6da 76 | ffffd592 77 | 00003b2e 78 | 000012fe 79 | 00001f66 80 | ffffe458 81 | 000037ae 82 | 00002e8c 83 | ffffe1fd 84 | ffffc896 85 | 0000362a 86 | fffffe2b 87 | ffffe042 88 | fffff3f3 89 | 00003658 90 | fffffad1 91 | ffffe42f 92 | ffffe770 93 | fffffafa 94 | 00002232 95 | 000025c5 96 | 00000522 97 | ffffe48c 98 | 00002f24 99 | 00003f24 100 | ffffc4c5 101 | 000033c6 102 | ffffe582 103 | 00003aac 104 | 000024f2 105 | ffffe92b 106 | ffffcc2c 107 | ffffd065 108 | 00001f6b 109 | ffffd9d4 110 | ffffd274 111 | 000029db 112 | ffffd2db 113 | 00000a8f 114 | ffffdb4f 115 | ffffcf78 116 | 000031b0 117 | 00003c1d 118 | 00000fca 119 | ffffd853 120 | ffffdf79 121 | ffffcc2e 122 | 00000e7a 123 | ffffed54 124 | ffffe35d 125 | ffffd450 126 | 00000306 127 | ffffe7a9 128 | fffff13b 129 | ffffc9cd 130 | ffffe095 131 | 000019f3 132 | 00003d62 133 | ffffcec7 134 | fffff03e 135 | 000026d9 136 | 00002e1a 137 | 00001429 138 | 000031c1 139 | ffffcea7 140 | 00000ee1 141 | 0000176e 142 | ffffd48c 143 | ffffee37 144 | 00002f4a 145 | 0000137e 146 | 000019ed 147 | 000002a4 148 | 00001846 149 | 000013f2 150 | 00001508 151 | ffffed4b 152 | 0000372b 153 | 000025f3 154 | 000008ed 155 | 00000da5 156 | ffffc41b 157 | 000027fb 158 | 000016c8 159 | 0000075a 160 | fffffaa0 161 | ffffc053 162 | ffffdf1e 163 | ffffe95c 164 | ffffe730 165 | 00002e99 166 | 000003fc 167 | ffffc888 168 | ffffd7c5 169 | 00000abc 170 | fffff02f 171 | 00003043 172 | ffffee2d 173 | ffffee57 174 | 00003146 175 | 00000607 176 | ffffe6ae 177 | fffffedd 178 | fffffaee 179 | ffffba41 180 | 00000c2f 181 | ffffeb63 182 | fffff0a9 183 | 00000fd7 184 | 00000d1d 185 | ffffcd77 186 | ffffe167 187 | 00002ef9 188 | 00003f37 189 | fffffca3 190 | 00003787 191 | 0000170d 192 | 00000091 193 | ffffdff4 194 | 000020b5 195 | ffffcbe7 196 | ffffd54a 197 | 00001e30 198 | ffffe098 199 | ffffc373 200 | 00003a5a 201 | fffff0e2 202 | 00002b2e 203 | 00002d72 204 | fffff4f2 205 | fffff8b1 206 | ffffefc9 207 | fffffd1b 208 | ffffe67d 209 | fffffb3b 210 | 00001eff 211 | ffffea31 212 | ffffd870 213 | ffffc13f 214 | fffffc69 215 | ffffdc14 216 | 00002f04 217 | 00003d6a 218 | 000015aa 219 | 00001f6c 220 | ffffeede 221 | 00003892 222 | ffffdc19 223 | 000002ef 224 | 000038f4 225 | ffffe740 226 | ffffe6b4 227 | 00000b8a 228 | 00003fe3 229 | ffffe5ca 230 | 00002ae8 231 | 00001332 232 | 00002f73 233 | ffffe0e3 234 | 00003074 235 | 00003aba 236 | ffffcd3f 237 | fffffe70 238 | ffffef76 239 | 00000902 240 | fffff085 241 | fffffaa9 242 | 000034c8 243 | 000013f4 244 | ffffc315 245 | ffffd8de 246 | 000024d6 247 | 00000d63 248 | 000020f1 249 | 0000305a 250 | 000025d1 251 | 000005f2 252 | 00002f2a 253 | 000027e6 254 | ffffd9e0 255 | 0000154b 256 | 000020f0 257 | 00000548 258 | 00005da6 259 | 000040b5 260 | 00001de3 261 | 00005387 262 | 00001a25 263 | 00003b40 264 | fffff0ca 265 | 00000b20 266 | 00000010 267 | ffffe311 268 | 00000c7e 269 | ffffdc1c 270 | ffffbb92 271 | ffffe4e9 272 | 00001f72 273 | ffffe6d0 274 | ffffeb02 275 | ffffea5d 276 | 0000078a 277 | ffffd1f8 278 | 00003c86 279 | 0000400f 280 | 00002c7f 281 | ffffe0ad 282 | 00000870 283 | 00000f97 284 | 00002283 285 | fffff0a3 286 | 0000297e 287 | 00003ac8 288 | 00004444 289 | 0000061e 290 | 0000145d 291 | 0000068b 292 | 0000294a 293 | ffffea57 294 | 00002cde 295 | ffffd6d7 296 | ffffecfd 297 | ffffc9b2 298 | 00000a6c 299 | 00002f13 300 | 000016e1 301 | 0000090c 302 | 00003b5f 303 | fffff8b3 304 | 00000d80 305 | ffffd7d1 306 | ffffe5d6 307 | 00001c59 308 | 00000bf9 309 | ffffe0f1 310 | ffffdd19 311 | fffff682 312 | ffffce72 313 | ffffeb63 314 | 00002596 315 | 00000006 316 | 00005417 317 | 0000602c 318 | 00005616 319 | fffff061 320 | ffffea60 321 | 00002071 322 | ffffe055 323 | 000033f5 324 | fffffa17 325 | 000000eb 326 | ffffd225 327 | ffffc430 328 | 0000332a 329 | ffffef9c 330 | 0000013e 331 | ffffcb1c 332 | 00000a3f 333 | ffffd9ef 334 | ffffe958 335 | fffffabe 336 | 000020eb 337 | fffff031 338 | 00001c1e 339 | fffffab2 340 | fffffc0b 341 | ffffd758 342 | 0000094f 343 | 00005c9e 344 | 0000662f 345 | ffffeae2 346 | 00001e5d 347 | 00004993 348 | 000031f3 349 | ffffcd07 350 | 000037e6 351 | ffffdb96 352 | ffffc271 353 | ffffcca7 354 | fffffff1 355 | 000035fc 356 | 00002ffc 357 | 00000b09 358 | 00002ec2 359 | 00003c1e 360 | fffff975 361 | fffff711 362 | ffffd089 363 | fffff1ff 364 | ffffcc6b 365 | ffffc6df 366 | 00000303 367 | 00000089 368 | ffffdc1c 369 | ffffbc68 370 | ffffc81c 371 | 000017c9 372 | fffff985 373 | 00002862 374 | fffffc5a 375 | 0000137f 376 | 00002cc7 377 | 00004269 378 | 000009e7 379 | 0000036f 380 | 00001db1 381 | 00000ffc 382 | 00001e38 383 | 000011ef 384 | ffffe724 385 | ffffd16e 386 | ffffffc2 387 | ffffc9c4 388 | 00000421 389 | 00003bcf 390 | ffffd91e 391 | ffffeb33 392 | 00002bd6 393 | ffffc956 394 | fffff749 395 | ffffa02a 396 | ffffc6ba 397 | ffffc977 398 | 000014de 399 | 000020a0 400 | 000037aa 401 | 00000712 402 | 00000ede 403 | 0000216a 404 | 00001cfe 405 | ffffec1e 406 | 00003296 407 | ffffbf03 408 | 00002901 409 | 000009f7 410 | ffffd264 411 | ffffe2c5 412 | 00001991 413 | 000009bb 414 | 00001cfe 415 | fffff923 416 | ffffdc66 417 | 0000177c 418 | ffffd729 419 | ffffc30d 420 | 00000296 421 | ffffe140 422 | ffffda8f 423 | fffffdc5 424 | ffff893d 425 | 00000531 426 | ffffded6 427 | 000029e6 428 | 00000dcf 429 | 00002c8f 430 | ffffee93 431 | ffffe9e2 432 | 00003c7a 433 | 0000019f 434 | 00002ef1 435 | 00001c95 436 | fffffa44 437 | 00001a82 438 | 00002b3f 439 | ffffcfa0 440 | ffffddff 441 | 00000925 442 | 00001f45 443 | 000025eb 444 | ffffd125 445 | 00002f12 446 | ffffce96 447 | 00001c8e 448 | ffffe346 449 | 00000377 450 | ffffd083 451 | ffffda55 452 | fffff145 453 | ffffb8d8 454 | 00002300 455 | 000015d0 456 | fffff54f 457 | ffffdf79 458 | 00002b91 459 | 00001d70 460 | ffffe445 461 | ffffe73a 462 | ffffed38 463 | ffffe8cf 464 | 00000c90 465 | ffffeee4 466 | 00000a5c 467 | 00002790 468 | 00000ce3 469 | 00002fd6 470 | ffffcd98 471 | 00002c0a 472 | ffffd260 473 | 00001602 474 | ffffec71 475 | ffffe473 476 | fffff00a 477 | 00000685 478 | ffffd631 479 | ffffd26a 480 | ffffe63c 481 | fffffc77 482 | 000048fd 483 | ffffe3fa 484 | fffff036 485 | 00000786 486 | 00000770 487 | 00000769 488 | 00001e8c 489 | 00003350 490 | ffffe18c 491 | ffffeacd 492 | fffffe98 493 | ffffe600 494 | 000034e5 495 | fffff77b 496 | 00000f35 497 | 00003a6a 498 | fffff8c8 499 | ffffd4b6 500 | 00000d0a 501 | 00000fe8 502 | ffffc2e9 503 | ffffd5c6 504 | ffffd398 505 | ffffc38b 506 | ffffee7e 507 | 00002b0b 508 | fffff4d3 509 | ffffd237 510 | 0000487a 511 | 00002cd8 512 | fffff7d8 513 | 00002a5f 514 | 000035e0 515 | 000017a2 516 | ffffe1ad 517 | 00000ddc 518 | 00004222 519 | 000004ca 520 | 00001425 521 | ffffc3d4 522 | ffffc84d 523 | 0000134e 524 | 00002e46 525 | 00000639 526 | ffffe912 527 | 00001e50 528 | 00000cf3 529 | ffffff6d 530 | fffff38c 531 | fffff239 532 | ffffd060 533 | ffffcab5 534 | 000021c2 535 | 00001dfe 536 | 00001e23 537 | 00001600 538 | ffffe1d0 539 | 00003262 540 | ffffff6f 541 | ffffd294 542 | 00001568 543 | fffff0a4 544 | 000000a6 545 | 00002032 546 | 00000370 547 | 000015e9 548 | fffffcce 549 | 00000e48 550 | 000001dc 551 | fffff385 552 | fffff77b 553 | ffffea11 554 | ffffc398 555 | fffffe15 556 | ffffeb07 557 | 00000ef3 558 | 000038d6 559 | fffffed3 560 | ffffcb4a 561 | ffffc4b1 562 | 00001bf1 563 | ffffbed5 564 | fffff565 565 | fffffecb 566 | ffffec0b 567 | ffffe896 568 | fffff437 569 | 000022d0 570 | ffffce22 571 | ffffee8e 572 | ffffdc56 573 | 00003c35 574 | fffff3c9 575 | 0000128f 576 | 0000033d 577 | ffffc8c5 578 | 00002e19 579 | 00001c92 580 | 00001218 581 | ffffd860 582 | ffffccb2 583 | 0000279b 584 | 0000086e 585 | fffff2f2 586 | ffffe84d 587 | fffff00f 588 | ffffd565 589 | 00000a18 590 | ffffe6ca 591 | 000002ec 592 | fffff376 593 | ffffee86 594 | 00000049 595 | 00001d4e 596 | ffffd66a 597 | 00000b0e 598 | ffffd7b4 599 | 000003ac 600 | fffff85e 601 | ffffc39e 602 | ffffe99c 603 | ffffe989 604 | 00002709 605 | 00000c94 606 | ffffc154 607 | 00002572 608 | 00000a96 609 | 000002e4 610 | fffff1f3 611 | fffff4fb 612 | 00001bd6 613 | ffffe6bc 614 | 00003836 615 | 000042ef 616 | fffff92b 617 | 00000b66 618 | 00001d4b 619 | 000009d7 620 | 00001b43 621 | ffffeef4 622 | fffff53a 623 | fffff12c 624 | 000044a8 625 | ffffe224 626 | 00001ec6 627 | 0000054b 628 | 00000643 629 | 00001f68 630 | ffffe9da 631 | 00003587 632 | ffffc3ce 633 | 00002a49 634 | ffffdc1f 635 | ffffc189 636 | ffffdd80 637 | ffffc358 638 | 00000e61 639 | 0000074f 640 | fffff150 641 | ffffd241 642 | 00001664 643 | 0000078d 644 | ffffdfb9 645 | 00000021 646 | fffffa11 647 | ffffec5b 648 | 0000230c 649 | fffff1a7 650 | 000003b9 651 | 000021a8 652 | ffffe953 653 | ffffd210 654 | 00002338 655 | ffffce13 656 | ffffcc9b 657 | fffffc72 658 | ffffe4d1 659 | ffffd497 660 | 00000094 661 | ffffddb5 662 | 00003fde 663 | 00002063 664 | 00001f8f 665 | ffffc958 666 | fffff961 667 | 000014a8 668 | 00002308 669 | ffffedef 670 | 0000404e 671 | 00002cdc 672 | 00001010 673 | 00000be1 674 | 00002713 675 | ffffe6c1 676 | 0000187e 677 | ffffe712 678 | ffffe0c5 679 | ffffe9e0 680 | 00000147 681 | 000007ab 682 | 000002a2 683 | 00001a45 684 | ffffeeb0 685 | 0000067f 686 | ffffc95e 687 | 00002373 688 | ffffc8bf 689 | 00002b78 690 | 00000371 691 | 000016d5 692 | fffff245 693 | ffffe173 694 | 00000c02 695 | ffffc33d 696 | 00000e6c 697 | 0000320e 698 | ffffe7c9 699 | ffffe333 700 | 00004304 701 | 000008cc 702 | 000022d3 703 | ffffee2b 704 | 000030fb 705 | 00002252 706 | ffffe120 707 | 0000372c 708 | ffffd71f 709 | 00001aad 710 | 00003480 711 | fffffd12 712 | ffffe02f 713 | fffff920 714 | fffffbef 715 | ffffe625 716 | ffffc983 717 | 00000828 718 | 000005df 719 | 000013c1 720 | ffffcd9b 721 | ffffdd50 722 | ffffdf42 723 | 0000139c 724 | ffffda9e 725 | 00001f4e 726 | fffffae4 727 | 00003e39 728 | 00000965 729 | 000015bc 730 | ffffe818 731 | 0000376f 732 | fffff1f9 733 | ffffe7ec 734 | 0000233b 735 | 000039ca 736 | ffffe772 737 | 00000fed 738 | 0000309a 739 | 00002677 740 | ffffcb53 741 | 00003c0d 742 | fffff44f 743 | ffffd88c 744 | 00001a02 745 | ffffd1cb 746 | ffffee15 747 | 00000489 748 | ffffccac 749 | 00003c80 750 | 00000e55 751 | ffffd51e 752 | ffffebf6 753 | 00003002 754 | 000008aa 755 | ffffdf0f 756 | ffffd115 757 | ffffcd02 758 | ffffe01d 759 | 00001997 760 | ffffefd9 761 | 000029bb 762 | 00001728 763 | 00001111 764 | ffffddb8 765 | fffffa7b 766 | ffffdee5 767 | 00001914 768 | 00002b1d 769 | ffffdd2f 770 | ffffdac7 771 | 000034f3 772 | 00003e9f 773 | ffffc5e1 774 | 00000d47 775 | 00001ef6 776 | 0000017d 777 | 00002885 778 | ffffc2ea 779 | ffffd120 780 | ffffec99 781 | 000001de 782 | ffffc7a7 783 | 00001831 784 | 00002785 785 | ffffddbc 786 | ffffcf41 787 | 0000281c 788 | 00003d30 789 | ffffe77b 790 | 00002f22 791 | ffffd48e 792 | ffffc3e5 793 | 000030a4 794 | 000016c9 795 | ffffcf38 796 | ffffc700 797 | ffffe03b 798 | ffffc861 799 | ffffdfde 800 | 00002da0 801 | 00003bc3 802 | ffffe40c 803 | 00003896 804 | ffffc2e9 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /w1_9.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | fffff464 22 | 00003fc9 23 | 00002403 24 | ffffe1f2 25 | fffffd63 26 | ffffd753 27 | 0000074e 28 | 00003a30 29 | 000035c5 30 | ffffd99b 31 | ffffc5a3 32 | 000017ca 33 | 000022e3 34 | 00001f2c 35 | ffffe447 36 | ffffddda 37 | ffffff5f 38 | 000020d0 39 | ffffce22 40 | 0000008e 41 | ffffdc49 42 | 000008b6 43 | 000030f4 44 | ffffd3a5 45 | 00003b24 46 | 00002732 47 | 000014ec 48 | fffffee7 49 | fffffa04 50 | fffffb31 51 | 00002ebd 52 | 000014be 53 | 000010c0 54 | ffffe4db 55 | ffffee8e 56 | 00003f1a 57 | ffffc20e 58 | 00002ee4 59 | fffff001 60 | ffffe446 61 | ffffed9a 62 | fffffe36 63 | fffff5fc 64 | 00002867 65 | fffff29e 66 | ffffdaf7 67 | 0000112e 68 | fffff3a2 69 | 00000247 70 | 0000359c 71 | ffffcc77 72 | ffffdef2 73 | ffffc699 74 | ffffdc61 75 | 0000267b 76 | 0000296c 77 | ffffc2e9 78 | 00002a43 79 | fffff32c 80 | 00001913 81 | 000035cd 82 | 00002712 83 | fffff21e 84 | ffffe4d9 85 | ffffc0d2 86 | ffffd594 87 | 000015f5 88 | 00000a5c 89 | ffffc53a 90 | ffffd7bd 91 | ffffeb53 92 | 0000122f 93 | 00000512 94 | 000021e8 95 | ffffc6b8 96 | 00001f2a 97 | ffffd75f 98 | fffff0cc 99 | ffffcf38 100 | ffffda71 101 | fffff481 102 | 00000602 103 | ffffcf9d 104 | 000039ba 105 | ffffe603 106 | 00000e05 107 | 00001c81 108 | 00000169 109 | ffffc909 110 | 0000213c 111 | ffffd539 112 | ffffc269 113 | fffffefa 114 | ffffe500 115 | ffffe143 116 | ffffd5c2 117 | fffff1fe 118 | fffff226 119 | ffffc15f 120 | fffff7f5 121 | 00003145 122 | 0000290d 123 | ffffd92b 124 | fffff3b2 125 | fffffe0a 126 | ffffd777 127 | ffffc0c9 128 | fffff9d9 129 | 0000310f 130 | ffffcaf3 131 | 00003154 132 | 000034ec 133 | ffffd497 134 | ffffc1e4 135 | 000011ab 136 | ffffe6bf 137 | 0000286b 138 | 000018c9 139 | 00000885 140 | ffffdbd7 141 | 000036ce 142 | fffffdf8 143 | 00001936 144 | ffffd6c1 145 | 00002690 146 | ffffee4d 147 | fffff80f 148 | 00000cb7 149 | ffffce52 150 | ffffd725 151 | 00001ccd 152 | 0000353d 153 | 00003cab 154 | ffffdcfd 155 | 00001589 156 | ffffce04 157 | ffffdd01 158 | ffffe796 159 | 00002207 160 | 00000611 161 | 000039fc 162 | ffffc1c3 163 | 00000be6 164 | 000037d9 165 | 00000a4a 166 | 00003975 167 | ffffffdf 168 | 000019bb 169 | ffffdac1 170 | ffffd470 171 | ffffea95 172 | ffffdcf8 173 | fffff46c 174 | 00000bfb 175 | 00001d18 176 | ffffe814 177 | ffffdd0a 178 | 00000e3d 179 | 000009a4 180 | ffffd108 181 | 000001a8 182 | 0000122c 183 | 00001f55 184 | 0000198d 185 | 000008be 186 | 000033fa 187 | 00003c2f 188 | 00002cf2 189 | 00000986 190 | 00000412 191 | 000015a1 192 | ffffd070 193 | ffffe272 194 | ffffdcbb 195 | fffff009 196 | 00001926 197 | fffffb13 198 | 000006f2 199 | 00000d12 200 | ffffdd52 201 | 00003120 202 | 0000317b 203 | 000034d7 204 | 000018de 205 | ffffd8a1 206 | 00000277 207 | 000031a7 208 | 00002e65 209 | 000019f8 210 | 00002055 211 | 00001936 212 | 0000376f 213 | 00004cbe 214 | 00000596 215 | ffffce70 216 | 00003472 217 | ffffd4d1 218 | ffffc41b 219 | ffffef60 220 | 00000bd0 221 | ffffe224 222 | fffff261 223 | 00001cbe 224 | ffffe48d 225 | fffffc70 226 | ffffd77a 227 | 00000701 228 | 00003d2e 229 | 00000e20 230 | fffff1d4 231 | 00005311 232 | 000042de 233 | 00002022 234 | 0000336f 235 | 000040c4 236 | ffffe045 237 | 000002a1 238 | fffffb8d 239 | 000011d9 240 | 00005956 241 | ffffe840 242 | ffffd831 243 | 00000810 244 | fffff22f 245 | ffffe3ac 246 | ffffc60d 247 | 00001695 248 | ffffd2cd 249 | ffffc25a 250 | ffffc9bf 251 | 000009d7 252 | 00000115 253 | fffff858 254 | 00003847 255 | 00001f18 256 | 00003f72 257 | 00001a97 258 | 0000242c 259 | 000042ee 260 | 00005059 261 | 0000166e 262 | 0000485b 263 | fffff4b3 264 | fffff81d 265 | 00001014 266 | fffff61e 267 | ffffe32c 268 | ffffde35 269 | 00002c7b 270 | ffffe1d5 271 | 00001568 272 | 00000dda 273 | ffffe7a7 274 | ffffe055 275 | ffffe39c 276 | fffffcf1 277 | 00002810 278 | ffffeb7d 279 | ffffff34 280 | 000025ab 281 | 000022d0 282 | 000044d9 283 | ffffe1a8 284 | 0000439e 285 | fffff179 286 | fffffc3c 287 | ffffd50d 288 | 0000324c 289 | 00000cbb 290 | 0000102b 291 | 00002bd1 292 | 00002c2c 293 | 00000e39 294 | 00003b77 295 | fffff50c 296 | 00003c06 297 | 000011c5 298 | ffffcb4d 299 | 00002e5f 300 | fffffd95 301 | ffffeb2d 302 | ffffdaf1 303 | 00001c87 304 | 00001ff7 305 | 00002b87 306 | ffffee30 307 | fffff895 308 | 00000a64 309 | 00001c58 310 | 000016d1 311 | 0000189a 312 | 000030e5 313 | 00005cec 314 | ffffef86 315 | 00002c9b 316 | ffffed58 317 | fffffde5 318 | 00003893 319 | ffffcaa3 320 | fffffecb 321 | 0000228b 322 | 00000746 323 | 00001309 324 | ffffe6e4 325 | ffffef84 326 | ffffc7a3 327 | ffffcb94 328 | 00002412 329 | ffffc8a2 330 | 00000856 331 | 00002d5e 332 | ffffefb5 333 | 000018cc 334 | ffffd946 335 | fffff0e5 336 | fffffb1b 337 | 000043cf 338 | 000014f1 339 | fffff4bd 340 | 00005dd4 341 | 0000293e 342 | 00003a46 343 | ffffbc8b 344 | fffff2ea 345 | 000006b7 346 | ffffe491 347 | fffffecd 348 | fffffb2e 349 | ffffea24 350 | ffffc31a 351 | 00000114 352 | 00001c92 353 | 000035d2 354 | ffffe79a 355 | 00001303 356 | ffffd152 357 | 00002c93 358 | ffffd5d0 359 | ffffdf9d 360 | ffffead0 361 | 00002fff 362 | fffff2a7 363 | ffffe1ea 364 | 00002e36 365 | ffffddd5 366 | 00004e48 367 | 0000415b 368 | 00004ade 369 | 00000ead 370 | 0000159b 371 | ffffeaa2 372 | fffff99e 373 | ffffe4e7 374 | ffffef94 375 | fffff870 376 | fffff1d3 377 | 00001603 378 | 0000266c 379 | 000034bc 380 | 000017ca 381 | 000036c5 382 | fffffdbe 383 | fffff293 384 | 00003765 385 | ffffd956 386 | 0000327f 387 | fffff4a7 388 | 000011e0 389 | 00000d84 390 | 000033fa 391 | 00001e80 392 | 00000f81 393 | 000052ef 394 | 000042df 395 | 00003dd7 396 | ffffe490 397 | 00000882 398 | 00002c2e 399 | ffffdccd 400 | 00001d9d 401 | ffffdd47 402 | 00002acd 403 | ffffd9f3 404 | 00000e43 405 | 000024bd 406 | fffff73a 407 | 00003cd9 408 | ffffeae8 409 | fffff40d 410 | 00002f0d 411 | ffffe20f 412 | 00002694 413 | 00003d1a 414 | 00000928 415 | 000000cc 416 | 00000829 417 | ffffefb8 418 | ffffe32a 419 | ffffdfb2 420 | 0000064e 421 | 00000303 422 | 00003db2 423 | ffffdb21 424 | 00003c23 425 | ffffd298 426 | ffffca0a 427 | ffffc54f 428 | 00000c70 429 | 0000078d 430 | fffffbb1 431 | ffffed78 432 | 000033bb 433 | 00001d7d 434 | ffffeb82 435 | ffffc70f 436 | 00002765 437 | 00000d72 438 | ffffecdb 439 | ffffd3bb 440 | ffffcc2f 441 | 00003b4e 442 | fffff914 443 | ffffc2bb 444 | 00000273 445 | 00003a19 446 | 00002d21 447 | 0000289f 448 | 00002326 449 | fffff24f 450 | 00000982 451 | 00002515 452 | 00002722 453 | ffffd88f 454 | ffffcdb5 455 | fffff892 456 | 00000a69 457 | 00001609 458 | 00003df1 459 | ffffec76 460 | 00002827 461 | 000007b5 462 | 00002107 463 | fffff7f5 464 | 000000e1 465 | ffffc619 466 | ffffcbe4 467 | 00001db1 468 | 00003eef 469 | 000008eb 470 | 000037e1 471 | ffffe446 472 | ffffe682 473 | ffffe42d 474 | ffffdb0c 475 | ffffff4d 476 | 00001b8b 477 | 000028bf 478 | fffffe08 479 | ffffeca8 480 | 0000219f 481 | 00001e1b 482 | ffffacda 483 | ffffb824 484 | ffffbaf7 485 | ffffd1f6 486 | 00003094 487 | 0000037c 488 | ffffdd0a 489 | 0000008d 490 | 00001f48 491 | 0000140d 492 | fffffc11 493 | 0000395d 494 | ffffc4fb 495 | fffffe21 496 | ffffdf03 497 | 00000d1f 498 | ffffdd79 499 | 00001638 500 | ffffd4e1 501 | ffffed63 502 | ffffd152 503 | 000018be 504 | ffffd51d 505 | 000011c8 506 | 00002b57 507 | ffffc542 508 | fffff6db 509 | ffffcae7 510 | ffffee3f 511 | 000013cd 512 | ffffd70e 513 | fffff23b 514 | 00004181 515 | 00000067 516 | 00001659 517 | 00002712 518 | 00003142 519 | ffffd70e 520 | fffff862 521 | 0000224c 522 | 00003c80 523 | ffffc140 524 | ffffeffa 525 | ffffcf89 526 | 00001e3c 527 | ffffcd1a 528 | fffff5ca 529 | 00002142 530 | fffff2e8 531 | fffffc2b 532 | ffffce01 533 | ffffc03f 534 | ffffd02c 535 | ffffe7de 536 | ffffdf40 537 | fffffe67 538 | ffffb009 539 | ffffc5b5 540 | ffffe9ce 541 | 0000354b 542 | ffffdc7e 543 | 000006d0 544 | ffffcffa 545 | ffffdda5 546 | fffff562 547 | ffffd24c 548 | 000024fa 549 | 00002f9e 550 | 00001ba5 551 | 000034fa 552 | ffffda63 553 | 00000163 554 | 00002add 555 | 00003792 556 | 00001f4f 557 | 00002c69 558 | 000001f0 559 | ffffcaaa 560 | 00003278 561 | 00002413 562 | fffff895 563 | ffffc9ad 564 | ffffcda7 565 | fffffd6e 566 | fffff9da 567 | ffffcfc5 568 | ffffd31b 569 | 00001e99 570 | 00000eee 571 | 00000079 572 | 00001a21 573 | 000002bf 574 | 00000a0e 575 | ffffc11e 576 | ffffc336 577 | 00002f8e 578 | ffffc8db 579 | ffffe968 580 | ffffc602 581 | ffffee8e 582 | 00003d75 583 | ffffe6c2 584 | fffff34c 585 | ffffce69 586 | fffffd3b 587 | 000027c9 588 | ffffda5b 589 | fffff0f0 590 | ffffe41e 591 | 00002e7e 592 | 000018e7 593 | 00001917 594 | ffffdedf 595 | ffffef70 596 | fffffdba 597 | 000016a4 598 | ffffded3 599 | 00000adf 600 | 00000f2a 601 | ffffdc49 602 | 000029d5 603 | 00001666 604 | 000030ed 605 | ffffee88 606 | fffffd16 607 | ffffef83 608 | ffffef29 609 | fffff312 610 | 000030e7 611 | ffffe491 612 | ffffde21 613 | 00002d43 614 | 00002a30 615 | ffffdd9a 616 | 000021e3 617 | 00004ea1 618 | ffffe9d6 619 | 0000064f 620 | 00003a7e 621 | ffffcd2a 622 | 00003bf0 623 | 0000486c 624 | ffffe938 625 | ffffe079 626 | 00001cba 627 | 00000497 628 | 00003103 629 | 00000d6a 630 | fffff5e4 631 | ffffca9e 632 | 000024a3 633 | ffffbfc9 634 | 00002324 635 | fffff6da 636 | ffffda68 637 | 00002e42 638 | fffff521 639 | 00003e0f 640 | 00000ae8 641 | ffffd179 642 | 000006bb 643 | 00001583 644 | ffffe939 645 | ffffe7bb 646 | ffffda3a 647 | 000042dc 648 | 000043b5 649 | ffffee25 650 | 000005b7 651 | 00004591 652 | 0000012c 653 | fffff348 654 | 00002973 655 | fffff708 656 | 00002049 657 | 00001927 658 | ffffc8e1 659 | ffffee42 660 | 00000665 661 | ffffcbc3 662 | 000026bf 663 | ffffc7ef 664 | fffffb70 665 | ffffd41b 666 | ffffe957 667 | 0000197f 668 | ffffc6e3 669 | ffffc86d 670 | 00001a78 671 | fffff35f 672 | 00002ad3 673 | 00001039 674 | fffff677 675 | 000046fd 676 | ffffec72 677 | 00002fe2 678 | 0000005c 679 | ffffe295 680 | 00001a5d 681 | 00002163 682 | ffffc13a 683 | ffffeed7 684 | fffffe9c 685 | 000001a9 686 | fffff46e 687 | ffffd1f2 688 | fffff72b 689 | fffff204 690 | ffffd420 691 | 0000212b 692 | 00003b2d 693 | fffff701 694 | ffffd5dc 695 | ffffcb65 696 | ffffd6fe 697 | 00002aa9 698 | fffff7b5 699 | 00003e11 700 | 000012fc 701 | 00001d9d 702 | 00002eba 703 | 000047ef 704 | ffffd2cd 705 | 00004424 706 | 000010ed 707 | 000028a7 708 | 00002bc1 709 | ffffcef2 710 | 000019d8 711 | 00001466 712 | fffff0c9 713 | ffffce9d 714 | 000021c8 715 | ffffceb5 716 | fffff113 717 | 00001515 718 | 00002678 719 | 000032e5 720 | fffff7c3 721 | 00000279 722 | ffffe68e 723 | 00000824 724 | ffffea5a 725 | ffffd20b 726 | 00003a95 727 | ffffedd7 728 | 000017cc 729 | 000039b6 730 | ffffddd7 731 | ffffe709 732 | 000020b5 733 | ffffff61 734 | ffffd88c 735 | fffff199 736 | 000002a3 737 | fffff563 738 | ffffe79c 739 | ffffec15 740 | 00002471 741 | ffffd786 742 | ffffe2c8 743 | 000015db 744 | fffff6ff 745 | ffffe1fb 746 | ffffc516 747 | 000004e8 748 | 00002790 749 | 000031b0 750 | ffffda7c 751 | ffffe5b5 752 | ffffd062 753 | 000018ac 754 | 000028a7 755 | 0000319e 756 | ffffc65b 757 | ffffd84c 758 | 000020b9 759 | 00002a20 760 | 00002a25 761 | fffffc63 762 | 000036fc 763 | 000020f7 764 | 00003d82 765 | 0000127b 766 | 00004014 767 | ffffebca 768 | ffffd14d 769 | ffffdae2 770 | ffffd7d2 771 | 00000c93 772 | 000022e8 773 | 00003cf5 774 | 00003ed9 775 | 00001c3e 776 | 000021a6 777 | ffffc3e6 778 | 000032b3 779 | fffffe19 780 | ffffe19e 781 | 0000195d 782 | fffff85e 783 | 00002972 784 | ffffe61e 785 | 000011c6 786 | 000034b9 787 | 00003b88 788 | fffff372 789 | 00003592 790 | 0000373a 791 | 00002381 792 | ffffc9fe 793 | 00001e3a 794 | ffffbff8 795 | ffffd64d 796 | 000024ea 797 | 00000687 798 | ffffd134 799 | 00002402 800 | 0000346a 801 | ffffee25 802 | ffffc174 803 | ffffd607 804 | ffffc44b 805 | 00000000 806 | 00000000 807 | 00000000 808 | 00000000 809 | 00000000 810 | 00000000 811 | 00000000 812 | 00000000 813 | 00000000 814 | 00000000 815 | 00000000 816 | 00000000 817 | 00000000 818 | 00000000 819 | 00000000 820 | 00000000 821 | 00000000 822 | 00000000 823 | 00000000 824 | 00000000 -------------------------------------------------------------------------------- /w2_1.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | ffff8f49 22 | ffffef61 23 | 00000c2a 24 | 000008be 25 | 00003937 26 | ffffe631 27 | ffffe55d 28 | ffffc4bc 29 | 0000504d 30 | 00002a81 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 -------------------------------------------------------------------------------- /w2_10.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | 000029d4 22 | ffffebb3 23 | fffffcb1 24 | ffffff67 25 | ffffb13f 26 | 00000911 27 | fffff81d 28 | 00000def 29 | 00003071 30 | fffff784 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 -------------------------------------------------------------------------------- /w2_2.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | ffffebb0 22 | 00000a1d 23 | ffffce2b 24 | ffffe40d 25 | 00003888 26 | 00002cc7 27 | ffffe4af 28 | 00005e04 29 | ffff8958 30 | ffffdfea 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 -------------------------------------------------------------------------------- /w2_3.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | ffffcb08 22 | fffffcfe 23 | 00002a90 24 | 00003db2 25 | 000023c7 26 | ffffee45 27 | 00002837 28 | 00000c62 29 | ffffd42c 30 | ffffc48a 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 -------------------------------------------------------------------------------- /w2_4.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | fffff6cf 22 | ffffd48a 23 | ffffcd67 24 | fffffd0c 25 | 00002190 26 | 00001f39 27 | 00005ac1 28 | 00000c37 29 | 00000411 30 | ffffd9ce 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 -------------------------------------------------------------------------------- /w2_5.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | 0000546d 22 | 000029be 23 | 0000277b 24 | ffffe35f 25 | ffffab0b 26 | 00001015 27 | fffffa22 28 | ffff8f49 29 | 00000de7 30 | 000036fd 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 -------------------------------------------------------------------------------- /w2_6.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | fffffcb6 22 | 00003987 23 | ffffeae5 24 | ffffeb05 25 | 000027d9 26 | 000067dc 27 | 00000824 28 | ffffae90 29 | 0000403f 30 | ffffd0ab 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 -------------------------------------------------------------------------------- /w2_7.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | fffff67c 22 | ffffdb67 23 | 000069d9 24 | ffffcb11 25 | 00001e8d 26 | 00000c33 27 | fffff309 28 | ffffa4e3 29 | ffffe710 30 | 000033e7 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 -------------------------------------------------------------------------------- /w2_8.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | ffffe53f 22 | ffffeef7 23 | ffffdd40 24 | fffff7bf 25 | ffffa186 26 | ffffe789 27 | fffff48a 28 | 00007675 29 | 00002843 30 | 00000e2a 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 -------------------------------------------------------------------------------- /w2_9.mem: -------------------------------------------------------------------------------- 1 | 00000000 2 | 00000000 3 | 00000000 4 | 00000000 5 | 00000000 6 | 00000000 7 | 00000000 8 | 00000000 9 | 00000000 10 | 00000000 11 | 00000000 12 | 00000000 13 | 00000000 14 | 00000000 15 | 00000000 16 | 00000000 17 | 00000000 18 | 00000000 19 | 00000000 20 | 00000000 21 | 00000f3b 22 | ffffeee6 23 | ffffee12 24 | 000012f3 25 | 00003f6f 26 | ffffd5fd 27 | ffffc536 28 | ffffdbcb 29 | 0000001a 30 | 00001c69 31 | 00000000 32 | 00000000 33 | 00000000 34 | 00000000 35 | 00000000 36 | 00000000 37 | 00000000 38 | 00000000 39 | 00000000 40 | 00000000 41 | 00000000 42 | 00000000 43 | 00000000 44 | 00000000 45 | 00000000 46 | 00000000 47 | 00000000 48 | 00000000 49 | 00000000 50 | 00000000 --------------------------------------------------------------------------------