├── .github ├── ISSUE_TEMPLATE.md └── PULL_REQUEST_TEMPLATE.md ├── .gitignore ├── .travis.yml ├── .travis └── CodeCoverage.cmake ├── CMakeLists.txt ├── CONTRIBUTING.md ├── LICENSE.txt ├── LOGO.png ├── NOTICE.txt ├── README.md ├── include ├── CMakeLists.txt ├── cascade.h └── cascade_slave.h ├── setup ├── share ├── CMakeLists.txt └── cascade │ ├── avalon │ ├── avalon32_wrapper.v │ └── avalon64_wrapper.v │ ├── de10 │ ├── DE10_NANO_SOC_GHRD.sdc │ ├── DE10_NANO_SoC_GHRD.qpf │ ├── DE10_NANO_SoC_GHRD.qsf │ ├── DE10_NANO_SoC_GHRD.v │ ├── DE10_NANO_SoC_GHRD_assignment_defaults.qdf │ ├── assemble_de10.sh │ ├── build_de10.sh │ ├── generate_hps_qsys_header.sh │ ├── hps_common_board_info.xml │ ├── hps_sdram_p0_summary.csv │ ├── ip │ │ └── altsource_probe │ │ │ ├── hps_reset.qip │ │ │ ├── hps_reset.v │ │ │ └── hps_reset_bb.v │ ├── program_logic_hw.tcl │ ├── soc_system.dtb │ ├── soc_system.dts │ ├── soc_system.qsys │ ├── soc_system_board_info.xml │ ├── sof2rbf.cof │ └── software │ │ └── spl_bsp │ │ ├── Makefile │ │ ├── generated │ │ ├── build.h │ │ ├── iocsr_config_cyclone5.c │ │ ├── iocsr_config_cyclone5.h │ │ ├── pinmux_config.h │ │ ├── pinmux_config_cyclone5.c │ │ ├── pll_config.h │ │ ├── reset_config.h │ │ └── sdram │ │ │ └── sdram_config.h │ │ ├── preloader-mkpimage.bin │ │ ├── preloader.ds │ │ ├── settings.bsp │ │ ├── u-boot.img │ │ └── uboot.ds │ ├── doc │ ├── 1364-2005.pdf │ ├── 1800_2012.pdf │ └── asplos19.pdf │ ├── march │ ├── de10.v │ ├── regression │ │ ├── avalon32.v │ │ ├── avalon64.v │ │ ├── concurrent.v │ │ ├── jit.v │ │ ├── minimal.v │ │ ├── no_inline.v │ │ ├── remote.v │ │ ├── verilator32.v │ │ └── verilator64.v │ ├── sw.v │ └── ulx3s.v │ ├── script │ ├── gtest.rb │ └── license.sh │ ├── stdlib │ ├── iterator.v │ ├── memory.v │ └── stdlib.v │ ├── test │ ├── benchmark │ │ ├── adpcm │ │ │ ├── adpcm.v │ │ │ ├── dec.dat │ │ │ ├── enc.dat │ │ │ ├── ima_adpcm_dec.v │ │ │ ├── ima_adpcm_enc.v │ │ │ └── in.dat │ │ ├── array │ │ │ ├── README.txt │ │ │ ├── array.v │ │ │ ├── run_2.v │ │ │ ├── run_3.v │ │ │ ├── run_4.v │ │ │ ├── run_5.v │ │ │ ├── run_6.v │ │ │ └── run_7.v │ │ ├── bitcoin │ │ │ ├── README.txt │ │ │ ├── bitcoin.v │ │ │ ├── fpgaminer_top.v │ │ │ ├── run_11.v │ │ │ ├── run_12.v │ │ │ ├── run_13.v │ │ │ ├── run_15.v │ │ │ ├── run_18.v │ │ │ ├── run_2.v │ │ │ ├── run_20.v │ │ │ ├── run_25.v │ │ │ ├── run_3.v │ │ │ ├── run_4.v │ │ │ ├── run_7.v │ │ │ ├── run_8.v │ │ │ ├── run_9.v │ │ │ ├── sha-256-functions.v │ │ │ └── sha256_transform.v │ │ ├── mips32 │ │ │ ├── .gitignore │ │ │ ├── README.txt │ │ │ ├── alu.v │ │ │ ├── alu_control.v │ │ │ ├── asm │ │ │ │ ├── .gitignore │ │ │ │ ├── Makefile │ │ │ │ ├── README.txt │ │ │ │ ├── driver.h │ │ │ │ ├── lexer.h │ │ │ │ ├── main.cc │ │ │ │ ├── mips32.ll │ │ │ │ ├── mips32.yy │ │ │ │ └── parser.h │ │ │ ├── control.v │ │ │ ├── mem.v │ │ │ ├── mips32.v │ │ │ ├── run_bubble_128.hex │ │ │ ├── run_bubble_128.v │ │ │ ├── run_bubble_128_1024.hex │ │ │ ├── run_bubble_128_1024.v │ │ │ ├── run_bubble_32.hex │ │ │ ├── run_bubble_32.v │ │ │ ├── src │ │ │ │ ├── bubble.s │ │ │ │ └── sum.s │ │ │ ├── sum.hex │ │ │ └── sum.v │ │ ├── nw │ │ │ ├── README.txt │ │ │ ├── constants_16.hex │ │ │ ├── constants_16.v │ │ │ ├── constants_2.hex │ │ │ ├── constants_2.v │ │ │ ├── constants_4.hex │ │ │ ├── constants_4.v │ │ │ ├── constants_8.hex │ │ │ ├── constants_8.v │ │ │ ├── data │ │ │ │ ├── Makefile │ │ │ │ ├── README.txt │ │ │ │ └── gen.cc │ │ │ ├── nw.v │ │ │ ├── run_16.v │ │ │ ├── run_2.v │ │ │ ├── run_4.v │ │ │ └── run_8.v │ │ └── regex │ │ │ ├── README.txt │ │ │ ├── codegen │ │ │ ├── Makefile │ │ │ ├── README.txt │ │ │ ├── driver.h │ │ │ ├── lexer.h │ │ │ ├── main.cc │ │ │ ├── nfa.cc │ │ │ ├── nfa.h │ │ │ ├── parser.h │ │ │ ├── regex.ll │ │ │ └── regex.yy │ │ │ ├── iliad.txt │ │ │ ├── iliad_abridged.txt │ │ │ ├── run_disjunct_1.v │ │ │ ├── run_disjunct_2.v │ │ │ ├── run_disjunct_4.v │ │ │ ├── run_disjunct_64.v │ │ │ ├── run_disjunct_8.v │ │ │ ├── run_disjunct_abridged_1.v │ │ │ └── word.v │ └── regression │ │ ├── bitcoin │ │ ├── bitcoin_1.v │ │ ├── bitcoin_2.v │ │ ├── bitcoin_3.v │ │ ├── bitcoin_4.v │ │ ├── bitcoin_5.v │ │ ├── bitcoin_6.v │ │ ├── bitcoin_7.v │ │ └── bitcoin_8.v │ │ ├── jit │ │ └── initial.v │ │ ├── parse │ │ ├── fail │ │ │ ├── assign_1.v │ │ │ ├── missing_endmodule.v │ │ │ ├── module_declaration_1.v │ │ │ └── module_declaration_2.v │ │ └── pass │ │ │ ├── and.v │ │ │ ├── aoo.v │ │ │ ├── assign_1.v │ │ │ ├── assign_statement.v │ │ │ ├── attributes.v │ │ │ ├── case_statement.v │ │ │ ├── conditional_statement.v │ │ │ ├── construct.v │ │ │ ├── continuous_assign.v │ │ │ ├── declaration.v │ │ │ ├── issue_224.v │ │ │ ├── issue_6.v │ │ │ ├── loop_statement.v │ │ │ ├── module_declaration_1.v │ │ │ ├── module_declaration_2.v │ │ │ ├── module_declaration_3.v │ │ │ ├── module_declaration_4.v │ │ │ ├── module_declaration_5.v │ │ │ ├── module_declaration_6.v │ │ │ ├── module_declaration_7.v │ │ │ ├── module_declaration_8.v │ │ │ ├── port_declaration.v │ │ │ ├── port_list.v │ │ │ └── system_task.v │ │ ├── simple │ │ ├── arithmetic_divide.v │ │ ├── arithmetic_minus.v │ │ ├── arithmetic_mod.v │ │ ├── arithmetic_multiply.v │ │ ├── arithmetic_plus.v │ │ ├── arithmetic_pow.v │ │ ├── array_1.v │ │ ├── array_2.v │ │ ├── array_3.v │ │ ├── array_4.v │ │ ├── assign_1.v │ │ ├── assign_2.v │ │ ├── assign_3.v │ │ ├── assign_4.v │ │ ├── assign_5.v │ │ ├── assign_6.v │ │ ├── assign_7.v │ │ ├── bitwise_and.v │ │ ├── bitwise_not.v │ │ ├── bitwise_or.v │ │ ├── bitwise_sll.v │ │ ├── bitwise_slr.v │ │ ├── bitwise_xnor.v │ │ ├── bitwise_xor.v │ │ ├── case_1.v │ │ ├── case_2.v │ │ ├── case_3.v │ │ ├── concat_1.v │ │ ├── concat_2.v │ │ ├── concat_3.v │ │ ├── cond_1.v │ │ ├── declaration_1.v │ │ ├── define_1.v │ │ ├── fifo_1.v │ │ ├── finish_1.v │ │ ├── for_1.v │ │ ├── for_2.v │ │ ├── generate_1.v │ │ ├── generate_2.v │ │ ├── generate_3.v │ │ ├── generate_4.v │ │ ├── hello_1.v │ │ ├── hello_2.v │ │ ├── hello_3.v │ │ ├── ifdef_1.v │ │ ├── include_1.v │ │ ├── include_2.v │ │ ├── inst_1.v │ │ ├── inst_2.v │ │ ├── inst_3.v │ │ ├── io_1.dat │ │ ├── io_1.v │ │ ├── io_2.dat │ │ ├── io_2.v │ │ ├── io_3.v │ │ ├── io_4.dat │ │ ├── io_4.v │ │ ├── issue_152.v │ │ ├── issue_195.v │ │ ├── issue_20a.v │ │ ├── issue_228.v │ │ ├── issue_41a.v │ │ ├── issue_41b.v │ │ ├── issue_47a.v │ │ ├── issue_47b.v │ │ ├── issue_47c.v │ │ ├── issue_47d.v │ │ ├── issue_54a.v │ │ ├── issue_54b.v │ │ ├── issue_54c.v │ │ ├── issue_81a.v │ │ ├── issue_81b.v │ │ ├── logical_and.v │ │ ├── logical_eq.v │ │ ├── logical_gt.v │ │ ├── logical_gte.v │ │ ├── logical_lt.v │ │ ├── logical_lte.v │ │ ├── logical_ne.v │ │ ├── logical_not.v │ │ ├── logical_or.v │ │ ├── mem_1.v │ │ ├── mem_2.v │ │ ├── nested_1.v │ │ ├── nonblock_1.v │ │ ├── nonblock_2.v │ │ ├── nonblock_3.v │ │ ├── pipeline_0.v │ │ ├── pipeline_1.v │ │ ├── pipeline_2.v │ │ ├── precedence.v │ │ ├── range_1.v │ │ ├── range_2.v │ │ ├── range_3.v │ │ ├── real_1.v │ │ ├── real_2.v │ │ ├── recursive.v │ │ ├── reduce_and.v │ │ ├── reduce_nand.v │ │ ├── reduce_nor.v │ │ ├── reduce_or.v │ │ ├── reduce_xnor.v │ │ ├── reduce_xor.v │ │ ├── repeat_1.v │ │ ├── repeat_2.v │ │ ├── repeat_3.v │ │ ├── seq_1.v │ │ ├── sign_1.v │ │ ├── sign_2.v │ │ ├── string.v │ │ ├── timing_1.v │ │ ├── timing_2.v │ │ ├── while_1.v │ │ └── while_2.v │ │ └── type_check │ │ ├── fail │ │ ├── array_1.v │ │ ├── array_10.v │ │ ├── array_2.v │ │ ├── array_3.v │ │ ├── array_4.v │ │ ├── array_5.v │ │ ├── array_6.v │ │ ├── array_7.v │ │ ├── array_8.v │ │ ├── array_9.v │ │ ├── assign_1.v │ │ ├── assign_2.v │ │ ├── assign_3.v │ │ ├── declaration_1.v │ │ ├── declaration_2.v │ │ ├── declaration_3.v │ │ ├── declaration_4.v │ │ ├── declaration_5.v │ │ ├── declaration_6.v │ │ ├── declaration_7.v │ │ ├── generate_1.v │ │ ├── generate_2.v │ │ ├── generate_3.v │ │ ├── generate_4.v │ │ ├── hierarchical_1.v │ │ ├── include_1.v │ │ ├── include_2.v │ │ ├── instantiation_1.v │ │ ├── instantiation_2.v │ │ ├── instantiation_3.v │ │ ├── instantiation_4.v │ │ ├── instantiation_5.v │ │ ├── instantiation_6.v │ │ ├── instantiation_7.v │ │ ├── issue_13a.v │ │ ├── issue_13b.v │ │ ├── issue_226.v │ │ ├── issue_239a.v │ │ ├── issue_239b.v │ │ ├── issue_239c.v │ │ ├── issue_239d.v │ │ ├── issue_23a.v │ │ ├── issue_23b.v │ │ ├── issue_23c.v │ │ ├── issue_30c.v │ │ ├── issue_30d.v │ │ ├── issue_30e.v │ │ ├── issue_45a.v │ │ ├── issue_45b.v │ │ ├── issue_45c.v │ │ ├── issue_45d.v │ │ ├── issue_53a.v │ │ ├── issue_53b.v │ │ ├── issue_60a.v │ │ ├── issue_60b.v │ │ ├── issue_60c.v │ │ ├── issue_60d.v │ │ ├── issue_60e.v │ │ ├── issue_60f.v │ │ ├── issue_60g.v │ │ ├── issue_60h.v │ │ ├── parameter_1.v │ │ ├── parameter_2.v │ │ ├── real_1.v │ │ └── resolution_1.v │ │ └── pass │ │ ├── array_1.v │ │ ├── array_2.v │ │ ├── array_3.v │ │ ├── array_4.v │ │ ├── array_5.v │ │ ├── declaration_1.v │ │ ├── generate_1.v │ │ ├── generate_2.v │ │ ├── generate_3.v │ │ ├── generate_4.v │ │ ├── instantiation_1.v │ │ ├── instantiation_2.v │ │ ├── instantiation_3.v │ │ ├── instantiation_4.v │ │ ├── issue_14.v │ │ ├── issue_193.v │ │ ├── issue_23a.v │ │ ├── issue_23b.v │ │ ├── issue_23c.v │ │ ├── issue_4.v │ │ ├── issue_54a.v │ │ ├── resolution_1.v │ │ ├── resolution_10.v │ │ ├── resolution_11.v │ │ ├── resolution_12.v │ │ ├── resolution_2.v │ │ ├── resolution_3.v │ │ ├── resolution_4.v │ │ ├── resolution_5.v │ │ ├── resolution_6.v │ │ ├── resolution_7.v │ │ ├── resolution_8.v │ │ └── resolution_9.v │ ├── ulx3s │ ├── build_ulx3s_32.sh │ ├── harness.v │ ├── root32.v │ ├── uart.v │ ├── uart_rx.v │ ├── uart_tx.v │ └── ulx3s_v20.lpf │ └── verilator │ ├── build_verilator_32.sh │ ├── build_verilator_64.sh │ ├── fake_main.cpp │ ├── harness_32.cpp │ └── harness_64.cpp ├── src ├── CMakeLists.txt ├── cascade.cc ├── cascade │ ├── cl │ │ ├── arg.h │ │ ├── arg_table.h │ │ ├── args.h │ │ ├── cl.h │ │ ├── comment_stream.h │ │ ├── dir_arg.h │ │ ├── file_arg.h │ │ ├── flag_arg.h │ │ ├── group.h │ │ ├── simple.h │ │ ├── singleton.h │ │ └── str_arg.h │ ├── common │ │ ├── bits.h │ │ ├── cachestream.h │ │ ├── cmake.in │ │ ├── fdstream.h │ │ ├── incstream.h │ │ ├── indstream.h │ │ ├── log.h │ │ ├── serializable.h │ │ ├── sockserver.h │ │ ├── sockstream.h │ │ ├── system.h │ │ ├── thread.h │ │ ├── thread_pool.h │ │ ├── tokenize.cc │ │ ├── tokenize.h │ │ ├── undo_map.h │ │ ├── undo_set.h │ │ ├── undo_val.h │ │ ├── undo_vector.h │ │ ├── undoable.h │ │ ├── uuid.h │ │ └── vector.h │ ├── runtime │ │ ├── data_plane.cc │ │ ├── data_plane.h │ │ ├── ids.h │ │ ├── isolate.cc │ │ ├── isolate.h │ │ ├── module.cc │ │ ├── module.h │ │ ├── nullbuf.h │ │ ├── runtime.cc │ │ └── runtime.h │ ├── target │ │ ├── compiler.cc │ │ ├── compiler.h │ │ ├── compiler │ │ │ ├── local_compiler.h │ │ │ ├── local_interface.h │ │ │ ├── remote_compiler.cc │ │ │ ├── remote_compiler.h │ │ │ ├── remote_interface.h │ │ │ ├── rpc.h │ │ │ └── stub_core.h │ │ ├── core.h │ │ ├── core │ │ │ ├── avmm │ │ │ │ ├── avalon │ │ │ │ │ ├── avalon_compiler.h │ │ │ │ │ ├── avalon_logic.h │ │ │ │ │ └── syncbuf.h │ │ │ │ ├── avmm_compiler.h │ │ │ │ ├── avmm_logic.h │ │ │ │ ├── de10 │ │ │ │ │ ├── de10_compiler.cc │ │ │ │ │ ├── de10_compiler.h │ │ │ │ │ ├── de10_config.cc │ │ │ │ │ ├── de10_config.h │ │ │ │ │ ├── de10_gpio.h │ │ │ │ │ ├── de10_led.h │ │ │ │ │ ├── de10_logic.cc │ │ │ │ │ ├── de10_logic.h │ │ │ │ │ ├── de10_pad.h │ │ │ │ │ ├── hps.h │ │ │ │ │ ├── io.h │ │ │ │ │ ├── quartus_server.cc │ │ │ │ │ ├── quartus_server.h │ │ │ │ │ └── socal.h │ │ │ │ ├── machinify.h │ │ │ │ ├── rewrite.h │ │ │ │ ├── text_mangle.h │ │ │ │ ├── ulx3s │ │ │ │ │ ├── ulx3s_compiler.h │ │ │ │ │ └── ulx3s_logic.h │ │ │ │ ├── var_table.h │ │ │ │ └── verilator │ │ │ │ │ ├── verilator_compiler.h │ │ │ │ │ └── verilator_logic.h │ │ │ ├── common │ │ │ │ ├── interfacestream.h │ │ │ │ ├── printf.h │ │ │ │ └── scanf.h │ │ │ ├── proxy │ │ │ │ ├── proxy_compiler.cc │ │ │ │ ├── proxy_compiler.h │ │ │ │ └── proxy_core.h │ │ │ └── sw │ │ │ │ ├── monitor.h │ │ │ │ ├── sw_clock.h │ │ │ │ ├── sw_compiler.cc │ │ │ │ ├── sw_compiler.h │ │ │ │ ├── sw_led.h │ │ │ │ ├── sw_logic.cc │ │ │ │ ├── sw_logic.h │ │ │ │ ├── sw_pad.h │ │ │ │ └── sw_reset.h │ │ ├── core_compiler.cc │ │ ├── core_compiler.h │ │ ├── engine.h │ │ ├── input.cc │ │ ├── input.h │ │ ├── interface.h │ │ ├── state.cc │ │ └── state.h │ └── verilog │ │ ├── analyze │ │ ├── constant.cc │ │ ├── constant.h │ │ ├── evaluate.cc │ │ ├── evaluate.h │ │ ├── indices.cc │ │ ├── indices.h │ │ ├── module_info.cc │ │ ├── module_info.h │ │ ├── navigate.cc │ │ ├── navigate.h │ │ ├── read_set.cc │ │ ├── read_set.h │ │ ├── resolve.cc │ │ └── resolve.h │ │ ├── ast │ │ ├── ast.h │ │ ├── ast_fwd.h │ │ ├── types │ │ │ ├── always_construct.h │ │ │ ├── arg_assign.h │ │ │ ├── assign_statement.h │ │ │ ├── attr_spec.h │ │ │ ├── attributes.h │ │ │ ├── binary_expression.h │ │ │ ├── block_statement.h │ │ │ ├── blocking_assign.h │ │ │ ├── case_generate_construct.h │ │ │ ├── case_generate_item.h │ │ │ ├── case_item.h │ │ │ ├── case_statement.h │ │ │ ├── concatenation.h │ │ │ ├── conditional_expression.h │ │ │ ├── conditional_generate_construct.h │ │ │ ├── conditional_statement.h │ │ │ ├── construct.h │ │ │ ├── continuous_assign.h │ │ │ ├── debug_statement.h │ │ │ ├── declaration.h │ │ │ ├── event.h │ │ │ ├── event_control.h │ │ │ ├── expression.h │ │ │ ├── feof_expression.h │ │ │ ├── fflush_statement.h │ │ │ ├── finish_statement.h │ │ │ ├── fopen_expression.h │ │ │ ├── for_statement.h │ │ │ ├── fseek_statement.h │ │ │ ├── generate_block.h │ │ │ ├── generate_construct.h │ │ │ ├── generate_region.h │ │ │ ├── genvar_declaration.h │ │ │ ├── get_statement.h │ │ │ ├── id.h │ │ │ ├── identifier.h │ │ │ ├── if_generate_clause.h │ │ │ ├── if_generate_construct.h │ │ │ ├── initial_construct.h │ │ │ ├── instantiation.h │ │ │ ├── localparam_declaration.h │ │ │ ├── loop_generate_construct.h │ │ │ ├── loop_statement.h │ │ │ ├── macro.h │ │ │ ├── module_declaration.h │ │ │ ├── module_instantiation.h │ │ │ ├── module_item.h │ │ │ ├── multiple_concatenation.h │ │ │ ├── net_declaration.h │ │ │ ├── node.h │ │ │ ├── nonblocking_assign.h │ │ │ ├── number.h │ │ │ ├── par_block.h │ │ │ ├── parameter_declaration.h │ │ │ ├── port_declaration.h │ │ │ ├── primary.h │ │ │ ├── put_statement.h │ │ │ ├── range_expression.h │ │ │ ├── reg_declaration.h │ │ │ ├── repeat_statement.h │ │ │ ├── restart_statement.h │ │ │ ├── retarget_statement.h │ │ │ ├── save_statement.h │ │ │ ├── scope.h │ │ │ ├── seq_block.h │ │ │ ├── statement.h │ │ │ ├── string.h │ │ │ ├── system_task_enable_statement.h │ │ │ ├── timing_control.h │ │ │ ├── timing_control_statement.h │ │ │ ├── unary_expression.h │ │ │ ├── variable_assign.h │ │ │ └── while_statement.h │ │ └── visitors │ │ │ ├── builder.cc │ │ │ ├── builder.h │ │ │ ├── editor.cc │ │ │ ├── editor.h │ │ │ ├── rewriter.cc │ │ │ ├── rewriter.h │ │ │ ├── visitor.cc │ │ │ └── visitor.h │ │ ├── build │ │ └── ast_builder.h │ │ ├── parse │ │ ├── lexer.h │ │ ├── parser.cc │ │ ├── parser.h │ │ ├── verilog.ll │ │ └── verilog.yy │ │ ├── print │ │ ├── color.h │ │ ├── print.cc │ │ ├── print.h │ │ ├── printer.cc │ │ ├── printer.h │ │ ├── term │ │ │ ├── term_printer.cc │ │ │ └── term_printer.h │ │ └── text │ │ │ ├── text_printer.cc │ │ │ └── text_printer.h │ │ ├── program │ │ ├── elaborate.cc │ │ ├── elaborate.h │ │ ├── inline.cc │ │ ├── inline.h │ │ ├── program.cc │ │ ├── program.h │ │ ├── type_check.cc │ │ └── type_check.h │ │ └── transform │ │ ├── assign_unpack.cc │ │ ├── assign_unpack.h │ │ ├── block_flatten.cc │ │ ├── block_flatten.h │ │ ├── constant_prop.cc │ │ ├── constant_prop.h │ │ ├── control_merge.cc │ │ ├── control_merge.h │ │ ├── de_alias.cc │ │ ├── de_alias.h │ │ ├── dead_code_eliminate.cc │ │ ├── dead_code_eliminate.h │ │ ├── delete_initial.cc │ │ ├── delete_initial.h │ │ ├── event_expand.cc │ │ ├── event_expand.h │ │ ├── index_normalize.cc │ │ ├── index_normalize.h │ │ ├── loop_unroll.cc │ │ └── loop_unroll.h └── cascade_slave.cc ├── test ├── CMakeLists.txt ├── benchmark │ └── benchmark.cc ├── harness.cc ├── harness.h └── regression │ ├── array.cc │ ├── avalon.cc │ ├── bitcoin.cc │ ├── jit.cc │ ├── mips32.cc │ ├── no_inline.cc │ ├── nw.cc │ ├── parse.cc │ ├── regex.cc │ ├── remote.cc │ ├── simple.cc │ ├── type_check.cc │ └── verilator.cc └── tools ├── CMakeLists.txt ├── cascade.cc ├── cascade_slave.cc ├── de10_probe.cc ├── quartus_server.cc └── sw_fpga.cc /.github/ISSUE_TEMPLATE.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/.github/ISSUE_TEMPLATE.md -------------------------------------------------------------------------------- /.github/PULL_REQUEST_TEMPLATE.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/.github/PULL_REQUEST_TEMPLATE.md -------------------------------------------------------------------------------- /.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/.gitignore -------------------------------------------------------------------------------- /.travis.yml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/.travis.yml -------------------------------------------------------------------------------- /.travis/CodeCoverage.cmake: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/.travis/CodeCoverage.cmake -------------------------------------------------------------------------------- /CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/CMakeLists.txt -------------------------------------------------------------------------------- /CONTRIBUTING.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/CONTRIBUTING.md -------------------------------------------------------------------------------- /LICENSE.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/LICENSE.txt -------------------------------------------------------------------------------- /LOGO.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/LOGO.png -------------------------------------------------------------------------------- /NOTICE.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/NOTICE.txt -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/README.md -------------------------------------------------------------------------------- /include/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/include/CMakeLists.txt -------------------------------------------------------------------------------- /include/cascade.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/include/cascade.h -------------------------------------------------------------------------------- /include/cascade_slave.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/include/cascade_slave.h -------------------------------------------------------------------------------- /setup: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/setup -------------------------------------------------------------------------------- /share/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/CMakeLists.txt -------------------------------------------------------------------------------- /share/cascade/avalon/avalon32_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/avalon/avalon32_wrapper.v -------------------------------------------------------------------------------- /share/cascade/avalon/avalon64_wrapper.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/avalon/avalon64_wrapper.v -------------------------------------------------------------------------------- /share/cascade/de10/DE10_NANO_SOC_GHRD.sdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/DE10_NANO_SOC_GHRD.sdc -------------------------------------------------------------------------------- /share/cascade/de10/DE10_NANO_SoC_GHRD.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/DE10_NANO_SoC_GHRD.qpf -------------------------------------------------------------------------------- /share/cascade/de10/DE10_NANO_SoC_GHRD.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/DE10_NANO_SoC_GHRD.qsf -------------------------------------------------------------------------------- /share/cascade/de10/DE10_NANO_SoC_GHRD.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/DE10_NANO_SoC_GHRD.v -------------------------------------------------------------------------------- /share/cascade/de10/DE10_NANO_SoC_GHRD_assignment_defaults.qdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/DE10_NANO_SoC_GHRD_assignment_defaults.qdf -------------------------------------------------------------------------------- /share/cascade/de10/assemble_de10.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/assemble_de10.sh -------------------------------------------------------------------------------- /share/cascade/de10/build_de10.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/build_de10.sh -------------------------------------------------------------------------------- /share/cascade/de10/generate_hps_qsys_header.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/generate_hps_qsys_header.sh -------------------------------------------------------------------------------- /share/cascade/de10/hps_common_board_info.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/hps_common_board_info.xml -------------------------------------------------------------------------------- /share/cascade/de10/hps_sdram_p0_summary.csv: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/hps_sdram_p0_summary.csv -------------------------------------------------------------------------------- /share/cascade/de10/ip/altsource_probe/hps_reset.qip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/ip/altsource_probe/hps_reset.qip -------------------------------------------------------------------------------- /share/cascade/de10/ip/altsource_probe/hps_reset.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/ip/altsource_probe/hps_reset.v -------------------------------------------------------------------------------- /share/cascade/de10/ip/altsource_probe/hps_reset_bb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/ip/altsource_probe/hps_reset_bb.v -------------------------------------------------------------------------------- /share/cascade/de10/program_logic_hw.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/program_logic_hw.tcl -------------------------------------------------------------------------------- /share/cascade/de10/soc_system.dtb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/soc_system.dtb -------------------------------------------------------------------------------- /share/cascade/de10/soc_system.dts: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/soc_system.dts -------------------------------------------------------------------------------- /share/cascade/de10/soc_system.qsys: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/soc_system.qsys -------------------------------------------------------------------------------- /share/cascade/de10/soc_system_board_info.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/soc_system_board_info.xml -------------------------------------------------------------------------------- /share/cascade/de10/sof2rbf.cof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/sof2rbf.cof -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/Makefile -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/generated/build.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/generated/build.h -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/generated/iocsr_config_cyclone5.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/generated/iocsr_config_cyclone5.c -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/generated/iocsr_config_cyclone5.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/generated/iocsr_config_cyclone5.h -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/generated/pinmux_config.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/generated/pinmux_config.h -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/generated/pinmux_config_cyclone5.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/generated/pinmux_config_cyclone5.c -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/generated/pll_config.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/generated/pll_config.h -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/generated/reset_config.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/generated/reset_config.h -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/generated/sdram/sdram_config.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/generated/sdram/sdram_config.h -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/preloader-mkpimage.bin: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/preloader-mkpimage.bin -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/preloader.ds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/preloader.ds -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/settings.bsp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/settings.bsp -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/u-boot.img: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/u-boot.img -------------------------------------------------------------------------------- /share/cascade/de10/software/spl_bsp/uboot.ds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/de10/software/spl_bsp/uboot.ds -------------------------------------------------------------------------------- /share/cascade/doc/1364-2005.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/doc/1364-2005.pdf -------------------------------------------------------------------------------- /share/cascade/doc/1800_2012.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/doc/1800_2012.pdf -------------------------------------------------------------------------------- /share/cascade/doc/asplos19.pdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/doc/asplos19.pdf -------------------------------------------------------------------------------- /share/cascade/march/de10.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/de10.v -------------------------------------------------------------------------------- /share/cascade/march/regression/avalon32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/regression/avalon32.v -------------------------------------------------------------------------------- /share/cascade/march/regression/avalon64.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/regression/avalon64.v -------------------------------------------------------------------------------- /share/cascade/march/regression/concurrent.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/regression/concurrent.v -------------------------------------------------------------------------------- /share/cascade/march/regression/jit.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/regression/jit.v -------------------------------------------------------------------------------- /share/cascade/march/regression/minimal.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/regression/minimal.v -------------------------------------------------------------------------------- /share/cascade/march/regression/no_inline.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/regression/no_inline.v -------------------------------------------------------------------------------- /share/cascade/march/regression/remote.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/regression/remote.v -------------------------------------------------------------------------------- /share/cascade/march/regression/verilator32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/regression/verilator32.v -------------------------------------------------------------------------------- /share/cascade/march/regression/verilator64.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/regression/verilator64.v -------------------------------------------------------------------------------- /share/cascade/march/sw.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/sw.v -------------------------------------------------------------------------------- /share/cascade/march/ulx3s.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/march/ulx3s.v -------------------------------------------------------------------------------- /share/cascade/script/gtest.rb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/script/gtest.rb -------------------------------------------------------------------------------- /share/cascade/script/license.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/script/license.sh -------------------------------------------------------------------------------- /share/cascade/stdlib/iterator.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/stdlib/iterator.v -------------------------------------------------------------------------------- /share/cascade/stdlib/memory.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/stdlib/memory.v -------------------------------------------------------------------------------- /share/cascade/stdlib/stdlib.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/stdlib/stdlib.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/adpcm/adpcm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/adpcm/adpcm.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/adpcm/dec.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/adpcm/dec.dat -------------------------------------------------------------------------------- /share/cascade/test/benchmark/adpcm/enc.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/adpcm/enc.dat -------------------------------------------------------------------------------- /share/cascade/test/benchmark/adpcm/ima_adpcm_dec.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/adpcm/ima_adpcm_dec.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/adpcm/ima_adpcm_enc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/adpcm/ima_adpcm_enc.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/adpcm/in.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/adpcm/in.dat -------------------------------------------------------------------------------- /share/cascade/test/benchmark/array/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/array/README.txt -------------------------------------------------------------------------------- /share/cascade/test/benchmark/array/array.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/array/array.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/array/run_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/array/run_2.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/array/run_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/array/run_3.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/array/run_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/array/run_4.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/array/run_5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/array/run_5.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/array/run_6.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/array/run_6.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/array/run_7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/array/run_7.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/README.txt -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/bitcoin.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/bitcoin.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/fpgaminer_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/fpgaminer_top.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_11.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_11.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_12.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_12.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_13.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_13.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_15.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_15.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_18.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_18.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_2.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_20.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_20.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_25.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_25.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_3.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_4.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_7.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_8.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/run_9.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/run_9.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/sha-256-functions.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/sha-256-functions.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/bitcoin/sha256_transform.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/bitcoin/sha256_transform.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/.gitignore: -------------------------------------------------------------------------------- 1 | # Memories 2 | *.mem 3 | -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/README.txt -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/alu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/alu.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/alu_control.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/alu_control.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/asm/.gitignore: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/asm/.gitignore -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/asm/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/asm/Makefile -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/asm/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/asm/README.txt -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/asm/driver.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/asm/driver.h -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/asm/lexer.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/asm/lexer.h -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/asm/main.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/asm/main.cc -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/asm/mips32.ll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/asm/mips32.ll -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/asm/mips32.yy: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/asm/mips32.yy -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/asm/parser.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/asm/parser.h -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/control.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/control.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/mem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/mem.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/mips32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/mips32.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/run_bubble_128.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/run_bubble_128.hex -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/run_bubble_128.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/run_bubble_128.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/run_bubble_128_1024.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/run_bubble_128_1024.hex -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/run_bubble_128_1024.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/run_bubble_128_1024.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/run_bubble_32.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/run_bubble_32.hex -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/run_bubble_32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/run_bubble_32.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/src/bubble.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/src/bubble.s -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/src/sum.s: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/src/sum.s -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/sum.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/sum.hex -------------------------------------------------------------------------------- /share/cascade/test/benchmark/mips32/sum.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/mips32/sum.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/README.txt -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/constants_16.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/constants_16.hex -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/constants_16.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/constants_16.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/constants_2.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/constants_2.hex -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/constants_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/constants_2.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/constants_4.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/constants_4.hex -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/constants_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/constants_4.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/constants_8.hex: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/constants_8.hex -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/constants_8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/constants_8.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/data/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/data/Makefile -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/data/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/data/README.txt -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/data/gen.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/data/gen.cc -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/nw.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/nw.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/run_16.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/run_16.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/run_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/run_2.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/run_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/run_4.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/nw/run_8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/nw/run_8.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/README.txt -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/codegen/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/codegen/Makefile -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/codegen/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/codegen/README.txt -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/codegen/driver.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/codegen/driver.h -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/codegen/lexer.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/codegen/lexer.h -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/codegen/main.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/codegen/main.cc -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/codegen/nfa.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/codegen/nfa.cc -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/codegen/nfa.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/codegen/nfa.h -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/codegen/parser.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/codegen/parser.h -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/codegen/regex.ll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/codegen/regex.ll -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/codegen/regex.yy: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/codegen/regex.yy -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/iliad.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/iliad.txt -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/iliad_abridged.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/iliad_abridged.txt -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/run_disjunct_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/run_disjunct_1.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/run_disjunct_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/run_disjunct_2.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/run_disjunct_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/run_disjunct_4.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/run_disjunct_64.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/run_disjunct_64.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/run_disjunct_8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/run_disjunct_8.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/run_disjunct_abridged_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/run_disjunct_abridged_1.v -------------------------------------------------------------------------------- /share/cascade/test/benchmark/regex/word.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/benchmark/regex/word.v -------------------------------------------------------------------------------- /share/cascade/test/regression/bitcoin/bitcoin_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/bitcoin/bitcoin_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/bitcoin/bitcoin_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/bitcoin/bitcoin_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/bitcoin/bitcoin_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/bitcoin/bitcoin_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/bitcoin/bitcoin_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/bitcoin/bitcoin_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/bitcoin/bitcoin_5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/bitcoin/bitcoin_5.v -------------------------------------------------------------------------------- /share/cascade/test/regression/bitcoin/bitcoin_6.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/bitcoin/bitcoin_6.v -------------------------------------------------------------------------------- /share/cascade/test/regression/bitcoin/bitcoin_7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/bitcoin/bitcoin_7.v -------------------------------------------------------------------------------- /share/cascade/test/regression/bitcoin/bitcoin_8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/bitcoin/bitcoin_8.v -------------------------------------------------------------------------------- /share/cascade/test/regression/jit/initial.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/jit/initial.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/fail/assign_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/fail/assign_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/fail/missing_endmodule.v: -------------------------------------------------------------------------------- 1 | module foo(x); 2 | -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/fail/module_declaration_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/fail/module_declaration_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/fail/module_declaration_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/fail/module_declaration_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/and.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/and.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/aoo.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/aoo.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/assign_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/assign_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/assign_statement.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/assign_statement.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/attributes.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/attributes.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/case_statement.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/case_statement.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/conditional_statement.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/conditional_statement.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/construct.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/construct.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/continuous_assign.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/continuous_assign.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/declaration.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/declaration.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/issue_224.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/issue_224.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/issue_6.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/issue_6.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/loop_statement.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/loop_statement.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/module_declaration_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/module_declaration_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/module_declaration_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/module_declaration_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/module_declaration_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/module_declaration_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/module_declaration_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/module_declaration_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/module_declaration_5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/module_declaration_5.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/module_declaration_6.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/module_declaration_6.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/module_declaration_7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/module_declaration_7.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/module_declaration_8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/module_declaration_8.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/port_declaration.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/port_declaration.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/port_list.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/port_list.v -------------------------------------------------------------------------------- /share/cascade/test/regression/parse/pass/system_task.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/parse/pass/system_task.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/arithmetic_divide.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/arithmetic_divide.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/arithmetic_minus.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/arithmetic_minus.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/arithmetic_mod.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/arithmetic_mod.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/arithmetic_multiply.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/arithmetic_multiply.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/arithmetic_plus.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/arithmetic_plus.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/arithmetic_pow.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/arithmetic_pow.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/array_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/array_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/array_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/array_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/array_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/array_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/array_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/array_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/assign_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/assign_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/assign_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/assign_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/assign_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/assign_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/assign_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/assign_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/assign_5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/assign_5.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/assign_6.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/assign_6.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/assign_7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/assign_7.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/bitwise_and.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/bitwise_and.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/bitwise_not.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/bitwise_not.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/bitwise_or.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/bitwise_or.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/bitwise_sll.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/bitwise_sll.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/bitwise_slr.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/bitwise_slr.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/bitwise_xnor.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/bitwise_xnor.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/bitwise_xor.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/bitwise_xor.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/case_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/case_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/case_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/case_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/case_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/case_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/concat_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/concat_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/concat_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/concat_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/concat_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/concat_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/cond_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/cond_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/declaration_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/declaration_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/define_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/define_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/fifo_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/fifo_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/finish_1.v: -------------------------------------------------------------------------------- 1 | initial begin 2 | $write("Hello World"); 3 | $finish; 4 | end 5 | -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/for_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/for_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/for_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/for_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/generate_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/generate_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/generate_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/generate_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/generate_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/generate_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/generate_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/generate_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/hello_1.v: -------------------------------------------------------------------------------- 1 | initial begin 2 | $write("Hello World"); 3 | $finish; 4 | end 5 | -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/hello_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/hello_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/hello_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/hello_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/ifdef_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/ifdef_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/include_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/include_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/include_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/include_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/inst_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/inst_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/inst_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/inst_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/inst_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/inst_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/io_1.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/io_1.dat -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/io_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/io_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/io_2.dat: -------------------------------------------------------------------------------- 1 | -1 -1 c Hello 5.55 2 | -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/io_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/io_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/io_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/io_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/io_4.dat: -------------------------------------------------------------------------------- 1 | 32.0 -1 65535 2 | -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/io_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/io_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_152.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_152.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_195.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_195.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_20a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_20a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_228.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_228.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_41a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_41a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_41b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_41b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_47a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_47a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_47b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_47b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_47c.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_47c.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_47d.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_47d.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_54a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_54a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_54b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_54b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_54c.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_54c.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_81a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_81a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/issue_81b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/issue_81b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/logical_and.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/logical_and.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/logical_eq.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/logical_eq.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/logical_gt.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/logical_gt.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/logical_gte.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/logical_gte.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/logical_lt.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/logical_lt.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/logical_lte.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/logical_lte.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/logical_ne.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/logical_ne.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/logical_not.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/logical_not.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/logical_or.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/logical_or.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/mem_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/mem_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/mem_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/mem_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/nested_1.v: -------------------------------------------------------------------------------- 1 | initial begin 2 | $write(2*(3+1)); 3 | $finish; 4 | end 5 | -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/nonblock_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/nonblock_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/nonblock_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/nonblock_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/nonblock_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/nonblock_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/pipeline_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/pipeline_0.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/pipeline_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/pipeline_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/pipeline_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/pipeline_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/precedence.v: -------------------------------------------------------------------------------- 1 | initial begin 2 | $write(1 + 2 * 3); 3 | $finish; 4 | end 5 | -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/range_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/range_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/range_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/range_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/range_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/range_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/real_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/real_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/real_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/real_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/recursive.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/recursive.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/reduce_and.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/reduce_and.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/reduce_nand.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/reduce_nand.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/reduce_nor.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/reduce_nor.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/reduce_or.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/reduce_or.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/reduce_xnor.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/reduce_xnor.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/reduce_xor.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/reduce_xor.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/repeat_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/repeat_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/repeat_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/repeat_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/repeat_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/repeat_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/seq_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/seq_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/sign_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/sign_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/sign_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/sign_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/string.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/string.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/timing_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/timing_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/timing_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/timing_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/while_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/while_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/simple/while_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/simple/while_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/array_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/array_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/array_10.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/array_10.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/array_2.v: -------------------------------------------------------------------------------- 1 | // Little-endian declaration 2 | wire x[0:7]; 3 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/array_3.v: -------------------------------------------------------------------------------- 1 | // Non-constant value in declaration 2 | reg r = 1; 3 | wire x[r:0]; 4 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/array_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/array_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/array_5.v: -------------------------------------------------------------------------------- 1 | // Slice into a scalar value 2 | wire x; 3 | assign x[0] = 1; 4 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/array_6.v: -------------------------------------------------------------------------------- 1 | // Too few array subscript 2 | wire x[3:0]; 3 | assign x = 1; 4 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/array_7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/array_7.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/array_8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/array_8.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/array_9.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/array_9.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/assign_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/assign_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/assign_2.v: -------------------------------------------------------------------------------- 1 | wire x; 2 | assign x[3:1] = 0; 3 | initial $finish; 4 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/assign_3.v: -------------------------------------------------------------------------------- 1 | wire[3:0] x; 2 | assign x[1:2] = 1; 3 | initial $finish; 4 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/declaration_1.v: -------------------------------------------------------------------------------- 1 | localparam x = 0; 2 | genvar x; 3 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/declaration_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/declaration_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/declaration_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/declaration_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/declaration_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/declaration_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/declaration_5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/declaration_5.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/declaration_6.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/declaration_6.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/declaration_7.v: -------------------------------------------------------------------------------- 1 | wire x = 10; 2 | reg r = x; 3 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/generate_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/generate_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/generate_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/generate_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/generate_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/generate_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/generate_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/generate_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/hierarchical_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/hierarchical_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/include_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/include_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/include_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/include_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/instantiation_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/instantiation_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/instantiation_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/instantiation_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/instantiation_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/instantiation_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/instantiation_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/instantiation_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/instantiation_5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/instantiation_5.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/instantiation_6.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/instantiation_6.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/instantiation_7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/instantiation_7.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_13a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_13a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_13b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_13b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_226.v: -------------------------------------------------------------------------------- 1 | // A module named foo hasn't been declared 2 | foo f(); 3 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_239a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_239a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_239b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_239b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_239c.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_239c.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_239d.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_239d.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_23a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_23a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_23b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_23b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_23c.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_23c.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_30c.v: -------------------------------------------------------------------------------- 1 | reg r; 2 | assign r = 1; 3 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_30d.v: -------------------------------------------------------------------------------- 1 | wire x; 2 | always @(posedge clock.val) begin 3 | x = 1; 4 | end 5 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_30e.v: -------------------------------------------------------------------------------- 1 | wire x; 2 | always @(posedge clock.val) begin 3 | x <= 1; 4 | end 5 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_45a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_45a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_45b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_45b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_45c.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_45c.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_45d.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_45d.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_53a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_53a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_53b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_53b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_60a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_60a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_60b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_60b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_60c.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_60c.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_60d.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_60d.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_60e.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_60e.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_60f.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_60f.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_60g.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_60g.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/issue_60h.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/issue_60h.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/parameter_1.v: -------------------------------------------------------------------------------- 1 | reg r = 1; 2 | parameter p = r; 3 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/parameter_2.v: -------------------------------------------------------------------------------- 1 | genvar i; 2 | localparam p = i; 3 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/real_1.v: -------------------------------------------------------------------------------- 1 | real r = 27; 2 | initial $display(r[0]); 3 | -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/fail/resolution_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/fail/resolution_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/array_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/array_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/array_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/array_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/array_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/array_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/array_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/array_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/array_5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/array_5.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/declaration_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/declaration_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/generate_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/generate_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/generate_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/generate_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/generate_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/generate_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/generate_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/generate_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/instantiation_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/instantiation_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/instantiation_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/instantiation_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/instantiation_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/instantiation_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/instantiation_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/instantiation_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/issue_14.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/issue_14.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/issue_193.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/issue_193.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/issue_23a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/issue_23a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/issue_23b.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/issue_23b.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/issue_23c.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/issue_23c.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/issue_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/issue_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/issue_54a.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/issue_54a.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_1.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_10.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_10.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_11.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_11.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_12.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_12.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_2.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_2.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_3.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_3.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_4.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_4.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_5.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_5.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_6.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_6.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_7.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_7.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_8.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_8.v -------------------------------------------------------------------------------- /share/cascade/test/regression/type_check/pass/resolution_9.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/test/regression/type_check/pass/resolution_9.v -------------------------------------------------------------------------------- /share/cascade/ulx3s/build_ulx3s_32.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/ulx3s/build_ulx3s_32.sh -------------------------------------------------------------------------------- /share/cascade/ulx3s/harness.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/ulx3s/harness.v -------------------------------------------------------------------------------- /share/cascade/ulx3s/root32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/ulx3s/root32.v -------------------------------------------------------------------------------- /share/cascade/ulx3s/uart.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/ulx3s/uart.v -------------------------------------------------------------------------------- /share/cascade/ulx3s/uart_rx.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/ulx3s/uart_rx.v -------------------------------------------------------------------------------- /share/cascade/ulx3s/uart_tx.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/ulx3s/uart_tx.v -------------------------------------------------------------------------------- /share/cascade/ulx3s/ulx3s_v20.lpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/ulx3s/ulx3s_v20.lpf -------------------------------------------------------------------------------- /share/cascade/verilator/build_verilator_32.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/verilator/build_verilator_32.sh -------------------------------------------------------------------------------- /share/cascade/verilator/build_verilator_64.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/verilator/build_verilator_64.sh -------------------------------------------------------------------------------- /share/cascade/verilator/fake_main.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/verilator/fake_main.cpp -------------------------------------------------------------------------------- /share/cascade/verilator/harness_32.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/verilator/harness_32.cpp -------------------------------------------------------------------------------- /share/cascade/verilator/harness_64.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/share/cascade/verilator/harness_64.cpp -------------------------------------------------------------------------------- /src/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/CMakeLists.txt -------------------------------------------------------------------------------- /src/cascade.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade.cc -------------------------------------------------------------------------------- /src/cascade/cl/arg.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/arg.h -------------------------------------------------------------------------------- /src/cascade/cl/arg_table.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/arg_table.h -------------------------------------------------------------------------------- /src/cascade/cl/args.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/args.h -------------------------------------------------------------------------------- /src/cascade/cl/cl.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/cl.h -------------------------------------------------------------------------------- /src/cascade/cl/comment_stream.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/comment_stream.h -------------------------------------------------------------------------------- /src/cascade/cl/dir_arg.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/dir_arg.h -------------------------------------------------------------------------------- /src/cascade/cl/file_arg.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/file_arg.h -------------------------------------------------------------------------------- /src/cascade/cl/flag_arg.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/flag_arg.h -------------------------------------------------------------------------------- /src/cascade/cl/group.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/group.h -------------------------------------------------------------------------------- /src/cascade/cl/simple.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/simple.h -------------------------------------------------------------------------------- /src/cascade/cl/singleton.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/singleton.h -------------------------------------------------------------------------------- /src/cascade/cl/str_arg.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/cl/str_arg.h -------------------------------------------------------------------------------- /src/cascade/common/bits.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/bits.h -------------------------------------------------------------------------------- /src/cascade/common/cachestream.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/cachestream.h -------------------------------------------------------------------------------- /src/cascade/common/cmake.in: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/cmake.in -------------------------------------------------------------------------------- /src/cascade/common/fdstream.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/fdstream.h -------------------------------------------------------------------------------- /src/cascade/common/incstream.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/incstream.h -------------------------------------------------------------------------------- /src/cascade/common/indstream.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/indstream.h -------------------------------------------------------------------------------- /src/cascade/common/log.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/log.h -------------------------------------------------------------------------------- /src/cascade/common/serializable.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/serializable.h -------------------------------------------------------------------------------- /src/cascade/common/sockserver.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/sockserver.h -------------------------------------------------------------------------------- /src/cascade/common/sockstream.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/sockstream.h -------------------------------------------------------------------------------- /src/cascade/common/system.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/system.h -------------------------------------------------------------------------------- /src/cascade/common/thread.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/thread.h -------------------------------------------------------------------------------- /src/cascade/common/thread_pool.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/thread_pool.h -------------------------------------------------------------------------------- /src/cascade/common/tokenize.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/tokenize.cc -------------------------------------------------------------------------------- /src/cascade/common/tokenize.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/tokenize.h -------------------------------------------------------------------------------- /src/cascade/common/undo_map.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/undo_map.h -------------------------------------------------------------------------------- /src/cascade/common/undo_set.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/undo_set.h -------------------------------------------------------------------------------- /src/cascade/common/undo_val.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/undo_val.h -------------------------------------------------------------------------------- /src/cascade/common/undo_vector.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/undo_vector.h -------------------------------------------------------------------------------- /src/cascade/common/undoable.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/undoable.h -------------------------------------------------------------------------------- /src/cascade/common/uuid.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/uuid.h -------------------------------------------------------------------------------- /src/cascade/common/vector.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/common/vector.h -------------------------------------------------------------------------------- /src/cascade/runtime/data_plane.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/runtime/data_plane.cc -------------------------------------------------------------------------------- /src/cascade/runtime/data_plane.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/runtime/data_plane.h -------------------------------------------------------------------------------- /src/cascade/runtime/ids.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/runtime/ids.h -------------------------------------------------------------------------------- /src/cascade/runtime/isolate.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/runtime/isolate.cc -------------------------------------------------------------------------------- /src/cascade/runtime/isolate.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/runtime/isolate.h -------------------------------------------------------------------------------- /src/cascade/runtime/module.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/runtime/module.cc -------------------------------------------------------------------------------- /src/cascade/runtime/module.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/runtime/module.h -------------------------------------------------------------------------------- /src/cascade/runtime/nullbuf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/runtime/nullbuf.h -------------------------------------------------------------------------------- /src/cascade/runtime/runtime.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/runtime/runtime.cc -------------------------------------------------------------------------------- /src/cascade/runtime/runtime.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/runtime/runtime.h -------------------------------------------------------------------------------- /src/cascade/target/compiler.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/compiler.cc -------------------------------------------------------------------------------- /src/cascade/target/compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/compiler.h -------------------------------------------------------------------------------- /src/cascade/target/compiler/local_compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/compiler/local_compiler.h -------------------------------------------------------------------------------- /src/cascade/target/compiler/local_interface.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/compiler/local_interface.h -------------------------------------------------------------------------------- /src/cascade/target/compiler/remote_compiler.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/compiler/remote_compiler.cc -------------------------------------------------------------------------------- /src/cascade/target/compiler/remote_compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/compiler/remote_compiler.h -------------------------------------------------------------------------------- /src/cascade/target/compiler/remote_interface.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/compiler/remote_interface.h -------------------------------------------------------------------------------- /src/cascade/target/compiler/rpc.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/compiler/rpc.h -------------------------------------------------------------------------------- /src/cascade/target/compiler/stub_core.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/compiler/stub_core.h -------------------------------------------------------------------------------- /src/cascade/target/core.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/avalon/avalon_compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/avalon/avalon_compiler.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/avalon/avalon_logic.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/avalon/avalon_logic.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/avalon/syncbuf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/avalon/syncbuf.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/avmm_compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/avmm_compiler.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/avmm_logic.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/avmm_logic.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/de10_compiler.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/de10_compiler.cc -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/de10_compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/de10_compiler.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/de10_config.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/de10_config.cc -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/de10_config.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/de10_config.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/de10_gpio.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/de10_gpio.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/de10_led.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/de10_led.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/de10_logic.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/de10_logic.cc -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/de10_logic.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/de10_logic.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/de10_pad.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/de10_pad.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/hps.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/hps.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/io.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/io.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/quartus_server.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/quartus_server.cc -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/quartus_server.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/quartus_server.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/de10/socal.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/de10/socal.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/machinify.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/machinify.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/rewrite.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/rewrite.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/text_mangle.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/text_mangle.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/ulx3s/ulx3s_compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/ulx3s/ulx3s_compiler.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/ulx3s/ulx3s_logic.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/ulx3s/ulx3s_logic.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/var_table.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/var_table.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/verilator/verilator_compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/verilator/verilator_compiler.h -------------------------------------------------------------------------------- /src/cascade/target/core/avmm/verilator/verilator_logic.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/avmm/verilator/verilator_logic.h -------------------------------------------------------------------------------- /src/cascade/target/core/common/interfacestream.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/common/interfacestream.h -------------------------------------------------------------------------------- /src/cascade/target/core/common/printf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/common/printf.h -------------------------------------------------------------------------------- /src/cascade/target/core/common/scanf.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/common/scanf.h -------------------------------------------------------------------------------- /src/cascade/target/core/proxy/proxy_compiler.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/proxy/proxy_compiler.cc -------------------------------------------------------------------------------- /src/cascade/target/core/proxy/proxy_compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/proxy/proxy_compiler.h -------------------------------------------------------------------------------- /src/cascade/target/core/proxy/proxy_core.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/proxy/proxy_core.h -------------------------------------------------------------------------------- /src/cascade/target/core/sw/monitor.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/sw/monitor.h -------------------------------------------------------------------------------- /src/cascade/target/core/sw/sw_clock.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/sw/sw_clock.h -------------------------------------------------------------------------------- /src/cascade/target/core/sw/sw_compiler.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/sw/sw_compiler.cc -------------------------------------------------------------------------------- /src/cascade/target/core/sw/sw_compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/sw/sw_compiler.h -------------------------------------------------------------------------------- /src/cascade/target/core/sw/sw_led.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/sw/sw_led.h -------------------------------------------------------------------------------- /src/cascade/target/core/sw/sw_logic.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/sw/sw_logic.cc -------------------------------------------------------------------------------- /src/cascade/target/core/sw/sw_logic.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/sw/sw_logic.h -------------------------------------------------------------------------------- /src/cascade/target/core/sw/sw_pad.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/sw/sw_pad.h -------------------------------------------------------------------------------- /src/cascade/target/core/sw/sw_reset.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core/sw/sw_reset.h -------------------------------------------------------------------------------- /src/cascade/target/core_compiler.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core_compiler.cc -------------------------------------------------------------------------------- /src/cascade/target/core_compiler.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/core_compiler.h -------------------------------------------------------------------------------- /src/cascade/target/engine.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/engine.h -------------------------------------------------------------------------------- /src/cascade/target/input.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/input.cc -------------------------------------------------------------------------------- /src/cascade/target/input.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/input.h -------------------------------------------------------------------------------- /src/cascade/target/interface.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/interface.h -------------------------------------------------------------------------------- /src/cascade/target/state.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/state.cc -------------------------------------------------------------------------------- /src/cascade/target/state.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/target/state.h -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/constant.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/constant.cc -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/constant.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/constant.h -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/evaluate.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/evaluate.cc -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/evaluate.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/evaluate.h -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/indices.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/indices.cc -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/indices.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/indices.h -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/module_info.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/module_info.cc -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/module_info.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/module_info.h -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/navigate.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/navigate.cc -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/navigate.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/navigate.h -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/read_set.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/read_set.cc -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/read_set.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/read_set.h -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/resolve.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/resolve.cc -------------------------------------------------------------------------------- /src/cascade/verilog/analyze/resolve.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/analyze/resolve.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/ast.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/ast.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/ast_fwd.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/ast_fwd.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/always_construct.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/always_construct.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/arg_assign.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/arg_assign.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/assign_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/assign_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/attr_spec.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/attr_spec.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/attributes.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/attributes.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/binary_expression.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/binary_expression.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/block_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/block_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/blocking_assign.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/blocking_assign.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/case_generate_construct.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/case_generate_construct.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/case_generate_item.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/case_generate_item.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/case_item.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/case_item.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/case_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/case_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/concatenation.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/concatenation.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/conditional_expression.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/conditional_expression.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/conditional_generate_construct.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/conditional_generate_construct.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/conditional_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/conditional_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/construct.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/construct.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/continuous_assign.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/continuous_assign.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/debug_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/debug_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/declaration.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/declaration.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/event.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/event.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/event_control.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/event_control.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/expression.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/expression.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/feof_expression.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/feof_expression.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/fflush_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/fflush_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/finish_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/finish_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/fopen_expression.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/fopen_expression.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/for_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/for_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/fseek_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/fseek_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/generate_block.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/generate_block.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/generate_construct.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/generate_construct.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/generate_region.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/generate_region.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/genvar_declaration.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/genvar_declaration.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/get_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/get_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/id.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/id.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/identifier.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/identifier.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/if_generate_clause.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/if_generate_clause.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/if_generate_construct.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/if_generate_construct.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/initial_construct.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/initial_construct.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/instantiation.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/instantiation.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/localparam_declaration.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/localparam_declaration.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/loop_generate_construct.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/loop_generate_construct.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/loop_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/loop_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/macro.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/macro.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/module_declaration.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/module_declaration.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/module_instantiation.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/module_instantiation.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/module_item.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/module_item.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/multiple_concatenation.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/multiple_concatenation.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/net_declaration.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/net_declaration.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/node.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/node.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/nonblocking_assign.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/nonblocking_assign.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/number.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/number.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/par_block.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/par_block.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/parameter_declaration.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/parameter_declaration.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/port_declaration.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/port_declaration.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/primary.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/primary.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/put_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/put_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/range_expression.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/range_expression.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/reg_declaration.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/reg_declaration.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/repeat_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/repeat_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/restart_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/restart_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/retarget_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/retarget_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/save_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/save_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/scope.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/scope.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/seq_block.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/seq_block.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/string.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/string.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/system_task_enable_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/system_task_enable_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/timing_control.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/timing_control.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/timing_control_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/timing_control_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/unary_expression.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/unary_expression.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/variable_assign.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/variable_assign.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/types/while_statement.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/types/while_statement.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/visitors/builder.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/visitors/builder.cc -------------------------------------------------------------------------------- /src/cascade/verilog/ast/visitors/builder.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/visitors/builder.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/visitors/editor.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/visitors/editor.cc -------------------------------------------------------------------------------- /src/cascade/verilog/ast/visitors/editor.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/visitors/editor.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/visitors/rewriter.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/visitors/rewriter.cc -------------------------------------------------------------------------------- /src/cascade/verilog/ast/visitors/rewriter.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/visitors/rewriter.h -------------------------------------------------------------------------------- /src/cascade/verilog/ast/visitors/visitor.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/visitors/visitor.cc -------------------------------------------------------------------------------- /src/cascade/verilog/ast/visitors/visitor.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/ast/visitors/visitor.h -------------------------------------------------------------------------------- /src/cascade/verilog/build/ast_builder.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/build/ast_builder.h -------------------------------------------------------------------------------- /src/cascade/verilog/parse/lexer.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/parse/lexer.h -------------------------------------------------------------------------------- /src/cascade/verilog/parse/parser.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/parse/parser.cc -------------------------------------------------------------------------------- /src/cascade/verilog/parse/parser.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/parse/parser.h -------------------------------------------------------------------------------- /src/cascade/verilog/parse/verilog.ll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/parse/verilog.ll -------------------------------------------------------------------------------- /src/cascade/verilog/parse/verilog.yy: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/parse/verilog.yy -------------------------------------------------------------------------------- /src/cascade/verilog/print/color.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/print/color.h -------------------------------------------------------------------------------- /src/cascade/verilog/print/print.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/print/print.cc -------------------------------------------------------------------------------- /src/cascade/verilog/print/print.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/print/print.h -------------------------------------------------------------------------------- /src/cascade/verilog/print/printer.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/print/printer.cc -------------------------------------------------------------------------------- /src/cascade/verilog/print/printer.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/print/printer.h -------------------------------------------------------------------------------- /src/cascade/verilog/print/term/term_printer.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/print/term/term_printer.cc -------------------------------------------------------------------------------- /src/cascade/verilog/print/term/term_printer.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/print/term/term_printer.h -------------------------------------------------------------------------------- /src/cascade/verilog/print/text/text_printer.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/print/text/text_printer.cc -------------------------------------------------------------------------------- /src/cascade/verilog/print/text/text_printer.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/print/text/text_printer.h -------------------------------------------------------------------------------- /src/cascade/verilog/program/elaborate.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/program/elaborate.cc -------------------------------------------------------------------------------- /src/cascade/verilog/program/elaborate.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/program/elaborate.h -------------------------------------------------------------------------------- /src/cascade/verilog/program/inline.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/program/inline.cc -------------------------------------------------------------------------------- /src/cascade/verilog/program/inline.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/program/inline.h -------------------------------------------------------------------------------- /src/cascade/verilog/program/program.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/program/program.cc -------------------------------------------------------------------------------- /src/cascade/verilog/program/program.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/program/program.h -------------------------------------------------------------------------------- /src/cascade/verilog/program/type_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/program/type_check.cc -------------------------------------------------------------------------------- /src/cascade/verilog/program/type_check.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/program/type_check.h -------------------------------------------------------------------------------- /src/cascade/verilog/transform/assign_unpack.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/assign_unpack.cc -------------------------------------------------------------------------------- /src/cascade/verilog/transform/assign_unpack.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/assign_unpack.h -------------------------------------------------------------------------------- /src/cascade/verilog/transform/block_flatten.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/block_flatten.cc -------------------------------------------------------------------------------- /src/cascade/verilog/transform/block_flatten.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/block_flatten.h -------------------------------------------------------------------------------- /src/cascade/verilog/transform/constant_prop.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/constant_prop.cc -------------------------------------------------------------------------------- /src/cascade/verilog/transform/constant_prop.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/constant_prop.h -------------------------------------------------------------------------------- /src/cascade/verilog/transform/control_merge.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/control_merge.cc -------------------------------------------------------------------------------- /src/cascade/verilog/transform/control_merge.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/control_merge.h -------------------------------------------------------------------------------- /src/cascade/verilog/transform/de_alias.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/de_alias.cc -------------------------------------------------------------------------------- /src/cascade/verilog/transform/de_alias.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/de_alias.h -------------------------------------------------------------------------------- /src/cascade/verilog/transform/dead_code_eliminate.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/dead_code_eliminate.cc -------------------------------------------------------------------------------- /src/cascade/verilog/transform/dead_code_eliminate.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/dead_code_eliminate.h -------------------------------------------------------------------------------- /src/cascade/verilog/transform/delete_initial.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/delete_initial.cc -------------------------------------------------------------------------------- /src/cascade/verilog/transform/delete_initial.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/delete_initial.h -------------------------------------------------------------------------------- /src/cascade/verilog/transform/event_expand.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/event_expand.cc -------------------------------------------------------------------------------- /src/cascade/verilog/transform/event_expand.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/event_expand.h -------------------------------------------------------------------------------- /src/cascade/verilog/transform/index_normalize.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/index_normalize.cc -------------------------------------------------------------------------------- /src/cascade/verilog/transform/index_normalize.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/index_normalize.h -------------------------------------------------------------------------------- /src/cascade/verilog/transform/loop_unroll.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/loop_unroll.cc -------------------------------------------------------------------------------- /src/cascade/verilog/transform/loop_unroll.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade/verilog/transform/loop_unroll.h -------------------------------------------------------------------------------- /src/cascade_slave.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/src/cascade_slave.cc -------------------------------------------------------------------------------- /test/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/CMakeLists.txt -------------------------------------------------------------------------------- /test/benchmark/benchmark.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/benchmark/benchmark.cc -------------------------------------------------------------------------------- /test/harness.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/harness.cc -------------------------------------------------------------------------------- /test/harness.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/harness.h -------------------------------------------------------------------------------- /test/regression/array.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/array.cc -------------------------------------------------------------------------------- /test/regression/avalon.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/avalon.cc -------------------------------------------------------------------------------- /test/regression/bitcoin.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/bitcoin.cc -------------------------------------------------------------------------------- /test/regression/jit.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/jit.cc -------------------------------------------------------------------------------- /test/regression/mips32.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/mips32.cc -------------------------------------------------------------------------------- /test/regression/no_inline.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/no_inline.cc -------------------------------------------------------------------------------- /test/regression/nw.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/nw.cc -------------------------------------------------------------------------------- /test/regression/parse.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/parse.cc -------------------------------------------------------------------------------- /test/regression/regex.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/regex.cc -------------------------------------------------------------------------------- /test/regression/remote.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/remote.cc -------------------------------------------------------------------------------- /test/regression/simple.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/simple.cc -------------------------------------------------------------------------------- /test/regression/type_check.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/type_check.cc -------------------------------------------------------------------------------- /test/regression/verilator.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/test/regression/verilator.cc -------------------------------------------------------------------------------- /tools/CMakeLists.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/tools/CMakeLists.txt -------------------------------------------------------------------------------- /tools/cascade.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/tools/cascade.cc -------------------------------------------------------------------------------- /tools/cascade_slave.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/tools/cascade_slave.cc -------------------------------------------------------------------------------- /tools/de10_probe.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/tools/de10_probe.cc -------------------------------------------------------------------------------- /tools/quartus_server.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/tools/quartus_server.cc -------------------------------------------------------------------------------- /tools/sw_fpga.cc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/vmware-archive/cascade/HEAD/tools/sw_fpga.cc --------------------------------------------------------------------------------