├── .gitkeep ├── Library ├── .gitkeep ├── 74LSXX_Lib │ ├── 74LS00 │ │ ├── XUP_74LS00_1.0.zip │ │ └── four_2_input_nand_gate_tb.v │ ├── 74LS02 │ │ ├── XUP_74LS02_1.0.zip │ │ └── four_2_input_nor_gate_tb.v │ ├── 74LS04 │ │ ├── XUP_74LS04_1.0.zip │ │ └── six_not_gate_tb.v │ ├── 74LS05 │ │ ├── XUP_74LS05_1.0.zip │ │ └── inv_6bits_tb.v │ ├── 74LS08 │ │ ├── XUP_74LS08_1.0.zip │ │ └── four_2_input_and_gate_tb.v │ ├── 74LS10 │ │ ├── XUP_74LS10_1.0.zip │ │ └── tri_3_input_nand_gate_tb.v │ ├── 74LS11 │ │ ├── XUP_74LS11_1.0.zip │ │ └── tri_3_input_and_gate_tb.v │ ├── 74LS138 │ │ ├── XUP_74LS138_1.0.zip │ │ └── decode138_tb.v │ ├── 74LS148 │ │ ├── XUP_74LS148_1.0.zip │ │ └── encoder_8_to_3_tb.v │ ├── 74LS151 │ │ ├── XUP_74LS151_1.0.zip │ │ └── mux_8_to_1_tb.v │ ├── 74LS153 │ │ ├── XUP_74LS153_1.0.zip │ │ └── two_mux_4_to_1_tb.v │ ├── 74LS164 │ │ ├── XUP_74LS164_1.0.zip │ │ └── shift_register_8bit_tb.v │ ├── 74LS185 │ │ ├── XUP_74LS185_1.0.zip │ │ └── bin2BCD_tb.v │ ├── 74LS192 │ │ ├── Syn_Up_Down_Decade_Counter_tb.v │ │ └── XUP_74LS192_1.0.zip │ ├── 74LS20 │ │ ├── XUP_74LS20_1.0.zip │ │ └── two_4_input_nand_gate_tb.v │ ├── 74LS21 │ │ ├── XUP_74LS21_1.0.zip │ │ └── two_4_input_and_gate_tb.v │ ├── 74LS27 │ │ ├── XUP_74LS27_1.0.zip │ │ └── tri_3_input_nor_gate_tb.v │ ├── 74LS30 │ │ ├── XUP_74LS30_1.0.zip │ │ └── one_8_input_nand_gate_tb.v │ ├── 74LS32 │ │ ├── XUP_74LS32_1.0.zip │ │ └── four_2_input_or_gate_tb.v │ ├── 74LS42 │ │ ├── BCD_Decimal_tb.v │ │ └── XUP_74LS42_1.0.zip │ ├── 74LS48 │ │ ├── BCD2SEG7_tb.v │ │ └── XUP_74LS48_1.0.zip │ ├── 74LS74 │ │ ├── D_FlipFlop_Set_Reset_tb.v │ │ └── XUP_74LS74_1.0.zip │ ├── 74LS83 │ │ ├── XUP_74LS83_1.0.zip │ │ └── binary_full_adder_4bits_tb.v │ ├── 74LS85 │ │ ├── XUP_74LS85_1.0.zip │ │ └── magnitude_comparator_4bits_tb.v │ ├── 74LS86 │ │ ├── XUP_74LS86_1.0.zip │ │ └── four_2_input_xor_gate_tb.v │ ├── 74LS90 │ │ ├── XUP_74LS90_1.0.zip │ │ └── decimal_counter_tb.v │ └── readme.txt ├── Interface │ ├── Debounce │ │ ├── File_tb │ │ │ └── debounce_tb.v │ │ ├── XUP_debounce_1.0.zip │ │ └── readme.txt │ ├── IIC │ │ ├── File_tb │ │ │ └── iic_tb.v │ │ ├── XUP_IICctrl_1.0.zip │ │ └── readme.txt │ ├── PWM_generator │ │ ├── File_tb │ │ │ └── pwm_tb.v │ │ ├── XUP_PWM_gen_1.0.zip │ │ └── readme.txt │ ├── SPI_IP │ │ ├── SPI.tcl │ │ ├── XUP_SPI_master_1.0.zip │ │ └── src │ │ │ └── source │ │ │ └── spi_master.v │ ├── Seg7decimal │ │ ├── File_tb │ │ │ └── seg7decimal_tb.v │ │ ├── readme.txt │ │ └── xup_seg7decimal_1.0.zip │ ├── Sensors │ │ ├── ambient_light │ │ │ ├── ambient_light.tcl │ │ │ └── src │ │ │ │ ├── constraint │ │ │ │ └── xadc.xdc │ │ │ │ └── source │ │ │ │ ├── clk_wiz_0.xci │ │ │ │ ├── seg_display.v │ │ │ │ ├── ug480.v │ │ │ │ └── xadc_top.v │ │ ├── rotation │ │ │ ├── rotation.tcl │ │ │ └── src │ │ │ │ ├── constraint │ │ │ │ └── xadc.xdc │ │ │ │ └── source │ │ │ │ ├── clk_wiz_0.xci │ │ │ │ ├── seg_display.v │ │ │ │ ├── ug480.v │ │ │ │ └── xadc_top.v │ │ ├── sound │ │ │ ├── sound.tcl │ │ │ └── src │ │ │ │ ├── constraint │ │ │ │ └── xadc.xdc │ │ │ │ └── source │ │ │ │ ├── clk_wiz_0.xci │ │ │ │ ├── seg_display.v │ │ │ │ ├── ug480.v │ │ │ │ └── xadc_top.v │ │ └── vibration │ │ │ ├── src │ │ │ ├── constraint │ │ │ │ └── xadc.xdc │ │ │ └── source │ │ │ │ ├── clk_wiz_0.xci │ │ │ │ ├── seg_display.v │ │ │ │ ├── ug480.v │ │ │ │ └── xadc_top.v │ │ │ └── vibration.tcl │ ├── UART_IP │ │ ├── XUP_UART_1.0.zip │ │ ├── readme.txt │ │ └── uart_tb.v │ ├── USB_PS2 │ │ ├── Misc │ │ │ └── Keyboard_Code_Table.png │ │ ├── readme.txt │ │ └── xup_ps2_keyboard_1.0.zip │ ├── VGA │ │ ├── File_tb │ │ │ └── vga_tb.v │ │ ├── XUP_vga_1.0.zip │ │ └── readme.txt │ └── XADC │ │ ├── XUP_xadc_1.0.zip │ │ └── readme.txt ├── PMOD │ ├── BlueTooth_IP │ │ ├── XUP_BlueTooth_1.0.zip │ │ ├── bluetooth_tb.v │ │ └── readme.txt │ ├── Camera │ │ ├── XUP_cam_ov7670_ov7725_1.0.zip │ │ └── readme.txt │ └── OLED │ │ ├── Ready_for_download │ │ └── oled_top.bit │ │ ├── Src │ │ ├── Constraint │ │ │ └── PIN.xdc │ │ ├── HDL_source │ │ │ ├── oled.v │ │ │ ├── oled_clear.v │ │ │ ├── oled_top.v │ │ │ ├── oled_write_data.v │ │ │ └── spi_master.v │ │ └── Tcl │ │ │ └── OLED.tcl │ │ └── readme.txt ├── XUP_Digital_Circuit_Lib │ ├── .gitkeep │ ├── xup_2_to_1_mux_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_mux_2_to_1_v1_0.tcl │ │ └── xup_mux_2_to_1.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_mux_2_to_1.v │ ├── xup_2_to_1_mux_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ ├── xup_2_to_1_mux_vector_v1_0.tcl │ │ │ └── xup_2_to_1_mux_vector_v1_0.tcl_1 │ │ └── xup_2_to_1_mux_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_2_to_1_mux_vector.v │ ├── xup_4_to_1_mux_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_4_to_1_mux_v1_0.tcl │ │ └── xup_4_to_1_mux.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_4_to_1_mux.v │ ├── xup_4_to_1_mux_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ ├── xup_4_to_1_mux_vector_v1_0.tcl │ │ │ └── xup_4_to_1_mux_vector_v1_0.tcl_1 │ │ └── xup_4_to_1_mux_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_4_to_1_mux_vector.v │ ├── xup_and2_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_and2_v1_0.tcl │ │ └── xup_and2.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_and2.v │ ├── xup_and3_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_and3_v1_0.tcl │ │ └── xup_and3.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_and3.v │ ├── xup_and4_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_and4_v1_0.tcl │ │ └── xup_and4.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_and4.v │ ├── xup_and5_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_and5_v1_0.tcl │ │ └── xup_and5.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_and5.v │ ├── xup_and6_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_and6_v1_0.tcl │ │ └── xup_and6.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_and6.v │ ├── xup_and_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ ├── xup_and_vector_v1_0.tcl │ │ │ └── xup_and_vector_v1_0.tcl_1 │ │ └── xup_and_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_and_vector.v │ ├── xup_clk_divider_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_clk_divider_v1_0.tcl │ │ └── xup_clk_divider.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_clk_divider.v │ ├── xup_dff_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_dff_v1_0.tcl │ │ └── xup_dff.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_dff.v │ ├── xup_dff_en_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_dff_en_v1_0.tcl │ │ └── xup_dff_en.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_dff_en.v │ ├── xup_dff_en_reset_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_dff_en_reset_v1_0.tcl │ │ └── xup_dff_en_reset.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_dff_en_reset.v │ ├── xup_dff_en_reset_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_dff_en_reset_vector_v1_0.tcl │ │ └── xup_dff_en_reset_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_dff_en_reset_vector.v │ ├── xup_dff_en_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_dff_en_vector_v1_0.tcl │ │ └── xup_dff_en_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_dff_en_vector.v │ ├── xup_dff_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_dff_vector_v1_0.tcl │ │ └── xup_dff_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_dff_vector.v │ ├── xup_inv_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_inv_v1_0.tcl │ │ └── xup_inv.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_inv.v │ ├── xup_inv_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_inv_vector_v1_0.tcl │ │ └── xup_inv_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_inv_vector.v │ ├── xup_nand2_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nand2_v1_0.tcl │ │ └── xup_nand2.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nand2.v │ ├── xup_nand3_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nand3_v1_0.tcl │ │ └── xup_nand3.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nand3.v │ ├── xup_nand4_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nand4_v1_0.tcl │ │ └── xup_nand4.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nand4.v │ ├── xup_nand5_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nand5_v1_0.tcl │ │ └── xup_nand5.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nand5.v │ ├── xup_nand6_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nand6_v1_0.tcl │ │ └── xup_nand6.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nand6.v │ ├── xup_nand_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nand_vector_v1_0.tcl │ │ └── xup_nand_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nand_vector.v │ ├── xup_nor2_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nor2_v1_0.tcl │ │ └── xup_nor2.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nor2.v │ ├── xup_nor3_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nor3_v1_0.tcl │ │ └── xup_nor3.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nor3.v │ ├── xup_nor4_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nor4_v1_0.tcl │ │ └── xup_nor4.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nor4.v │ ├── xup_nor5_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nor5_v1_0.tcl │ │ └── xup_nor5.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nor5.v │ ├── xup_nor6_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nor6_v1_0.tcl │ │ └── xup_nor6.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nor6.v │ ├── xup_nor_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_nor_vector_v1_0.tcl │ │ └── xup_nor_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_nor_vector.v │ ├── xup_or2_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_or2_v1_0.tcl │ │ └── xup_or2.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_or2.v │ ├── xup_or3_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_or3_v1_0.tcl │ │ └── xup_or3.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_or3.v │ ├── xup_or4_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_or4_v1_0.tcl │ │ └── xup_or4.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_or4.v │ ├── xup_or5_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_or5_v1_0.tcl │ │ └── xup_or5.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_or5.v │ ├── xup_or6_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_or6_v1_0.tcl │ │ └── xup_or6.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_or6.v │ ├── xup_or_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_or_vector_v1_0.tcl │ │ └── xup_or_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_or_vector.v │ ├── xup_ram_8x4_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_ram_8x4_v1_0.tcl │ │ └── xup_ram_8x4.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_ram_8x4.v │ ├── xup_rom_8x4_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_rom_8x4_v1_0.tcl │ │ └── xup_rom_8x4.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_rom_8x4.v │ ├── xup_tff_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_tff_v1_0.tcl │ │ └── xup_tff.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_tff.v │ ├── xup_tff_en_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_tff_en_v1_0.tcl │ │ └── xup_tff_en.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_tff_en.v │ ├── xup_tff_en_reset_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_tff_en_reset_vector_v1_0.tcl │ │ └── xup_tff_en_reset_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_tff_en_reset_vector.v │ ├── xup_tff_en_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_tff_en_vector_v1_0.tcl │ │ └── xup_tff_en_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_tff_en_vector.v │ ├── xup_tff_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_tff_vector_v1_0.tcl │ │ └── xup_tff_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_tff_vector.v │ ├── xup_tri_buf0_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_tri_buf0_v1_0.tcl │ │ └── xup_tri_buf0.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_tri_buf0.v │ ├── xup_tri_buf0_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_tri_buf0_vector_v1_0.tcl │ │ └── xup_tri_buf_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_tri_buf_vector.v │ ├── xup_tri_buf1_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_tri_buf1_v1_0.tcl │ │ └── xup_tri_buf1.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_tri_buf1.v │ ├── xup_tri_buf1_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_tri_buf1_vector_v1_0.tcl │ │ └── xup_tri_buf1_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_tri_buf1_vector.v │ ├── xup_xnor2_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_xnor2_v1_0.tcl │ │ └── xup_xnor2.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_xnor2.v │ ├── xup_xnor_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_xnor_vector_v1_0.tcl │ │ └── xup_xnor_vector.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_xnor_vector.v │ ├── xup_xor2_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ │ ├── .gitkeep │ │ │ └── xup_xor2_v1_0.tcl │ │ └── xup_xor2.srcs │ │ │ ├── .gitkeep │ │ │ └── sources_1 │ │ │ ├── .gitkeep │ │ │ └── new │ │ │ ├── .gitkeep │ │ │ └── xup_xor2.v │ └── xup_xor_vector_1.0 │ │ ├── .gitkeep │ │ ├── component.xml │ │ ├── xgui │ │ ├── .gitkeep │ │ └── xup_xor_vector_v1_0.tcl │ │ └── xup_xor_vector.srcs │ │ ├── .gitkeep │ │ └── sources_1 │ │ ├── .gitkeep │ │ └── new │ │ ├── .gitkeep │ │ └── xup_xor_vector.v └── readme.txt ├── Project ├── 1_Logic_Design │ ├── 1_CN_Design │ │ ├── Adder_full │ │ │ ├── Ready_for_download │ │ │ │ └── B3_adder_full_4bits_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Adder_full.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS83_1.0.zip │ │ │ │ │ │ └── XUP_74LS83_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── binary_full_adder_4bits_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── binary_full_adder_4bits.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── binary_full_adder_4bits_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Add_full_Design.tcl │ │ │ │ │ └── adder_full_4bits.tcl │ │ │ └── readme.txt │ │ ├── Bin2BCD │ │ │ ├── Ready_for_download │ │ │ │ └── B3_bin_to_BCD_downloadr.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Bin2BCD.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS185_1.0.zip │ │ │ │ │ │ └── XUP_74LS185_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── bin2BCD_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── bin2BCD.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── bin2BCD_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Bin2BCD_Design.tcl │ │ │ │ │ └── bin_to_BCD.tcl │ │ │ └── readme.txt │ │ ├── Comparator │ │ │ ├── Ready_for_download │ │ │ │ └── B3_Comparator_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Comparator.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS85_1.0.zip │ │ │ │ │ │ └── XUP_74LS85_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── magnitude_comparator_4bits_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── magnitude_comparator_4bits.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── magnitude_comparator_4bits_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Comparator.tcl │ │ │ │ │ └── Comparator_Design.tcl │ │ │ └── readme.txt │ │ ├── Decoder │ │ │ ├── Ready_for_download │ │ │ │ └── B3_Decoder_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Decoder.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS05_1.0.zip │ │ │ │ │ │ ├── XUP_74LS05_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── inv_6bits.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── inv_6bits_v1_0.tcl │ │ │ │ │ │ ├── XUP_74LS138_1.0.zip │ │ │ │ │ │ └── XUP_74LS138_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── decode138.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── decode138_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Decoder.tcl │ │ │ │ │ └── Decoder_Design.tcl │ │ │ └── readme.txt │ │ ├── Gray_Code_converter │ │ │ ├── Ready_for_download │ │ │ │ └── B3_gray_code_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Gray_Code_converter.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── xup_74LS86_1.0.zip │ │ │ │ │ │ └── xup_74LS86_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── four_2_input_xor_gate.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── four_2_input_xor_gate_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Gray_Code_converter_Design.tcl │ │ │ │ │ └── gray_code.tcl │ │ │ └── readme.txt │ │ ├── MUX │ │ │ ├── Ready_for_download │ │ │ │ └── B3_mux_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── MUX.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── xup_74LS151_1.0.zip │ │ │ │ │ │ └── xup_74LS151_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── mux_8_to_1.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── mux_8_to_1_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── MUX_Design.tcl │ │ │ │ │ └── mux.tcl │ │ │ └── readme.txt │ │ ├── Priority_Encoder │ │ │ ├── Ready_for_download │ │ │ │ └── B3_Priority_Encoder_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Priority_Encoder.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── xup_74LS148_1.0.zip │ │ │ │ │ │ └── xup_74LS148_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── encoder_8_to_3.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── encoder_8_to_3_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Priority_Encoder.tcl │ │ │ │ │ └── Priority_Encoder_Design.tcl │ │ │ └── readme.txt │ │ └── Seg7decimal_Design │ │ │ ├── Ready_for_download │ │ │ └── B3_seg7decimal_download.bit │ │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── seg7decimal_design.xdc │ │ │ ├── HDL_source │ │ │ │ └── IP_Catalog │ │ │ │ │ ├── XUP_seg7decimal_1.0.zip │ │ │ │ │ └── XUP_seg7decimal_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal.v │ │ │ │ │ └── xgui │ │ │ │ │ └── seg7decimal_v1_0.tcl │ │ │ └── Tcl │ │ │ │ ├── Seg7decimal.tcl │ │ │ │ └── Seg7decimal_Design.tcl │ │ │ └── readme.txt │ ├── 2_SN_Design │ │ ├── Counter │ │ │ ├── Ready_for_download │ │ │ │ └── B3_Counter_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Counter.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── xup_74LS90_1.0.zip │ │ │ │ │ │ ├── xup_74LS90_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── decimal_counter.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── decimal_counter_v1_0.tcl │ │ │ │ │ │ ├── xup_clk_div_1.0.zip │ │ │ │ │ │ ├── xup_clk_div_1.0 │ │ │ │ │ │ ├── clk_div.v │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── clk_div_v1_0.tcl │ │ │ │ │ │ ├── xup_seg7decimal_1.0.zip │ │ │ │ │ │ └── xup_seg7decimal_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── seg7decimal.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── seg7decimal_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Counter.tcl │ │ │ │ │ └── Counter_Design.tcl │ │ │ └── readme.txt │ │ ├── Digilent_Abacus_Design │ │ │ ├── Ready_for_download │ │ │ │ └── Basys3_Abacus_Top_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Basys3_Master.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ ├── Adder_Subtractor.v │ │ │ │ │ ├── Basys3_Abacus_Top.v │ │ │ │ │ ├── Binary_to_BCD_B1_bcdout1.v │ │ │ │ │ ├── Binary_to_BCD_B2_bcdout2.v │ │ │ │ │ ├── Binary_to_BCD_B_bcdout.v │ │ │ │ │ ├── Display_QU.v │ │ │ │ │ ├── Display_REM.v │ │ │ │ │ ├── Divider.v │ │ │ │ │ ├── Seg_7_Display.v │ │ │ │ │ ├── Segment_Scroll.v │ │ │ │ │ ├── multi_4_4_pp0.v │ │ │ │ │ ├── multi_4_4_pp1.v │ │ │ │ │ ├── multi_4_4_pp2.v │ │ │ │ │ └── multi_4_4_pp3.v │ │ │ │ └── Tcl │ │ │ │ │ └── Digilent_Abacus_Design.tcl │ │ │ └── readme.txt │ │ ├── Digital_Clock │ │ │ ├── Ready_for_download │ │ │ │ └── B3_Digital_Clock_wrapper.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Digital_Clock.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS08_1.0.zip │ │ │ │ │ │ ├── XUP_74LS08_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── four_2_input_and_gate.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── four_2_input_and_gate_v1_0.tcl │ │ │ │ │ │ ├── xup_74LS90_1.0.zip │ │ │ │ │ │ ├── xup_74LS90_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── decimal_counter.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── decimal_counter_v1_0.tcl │ │ │ │ │ │ ├── xup_clk_div_1.0.zip │ │ │ │ │ │ ├── xup_clk_div_1.0 │ │ │ │ │ │ ├── clk_div.v │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── clk_div_v1_0.tcl │ │ │ │ │ │ ├── xup_seg7decimal_1.0.zip │ │ │ │ │ │ └── xup_seg7decimal_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── seg7decimal.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── seg7decimal_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Digital_Clock.tcl │ │ │ │ │ └── Digital_Clock_Design.tcl │ │ │ └── readme.txt │ │ ├── Frequency_Divider │ │ │ ├── Ready_for_download │ │ │ │ └── B3_frequency_divider_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Frequency_Divider.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS74_1.0.zip │ │ │ │ │ │ ├── XUP_74LS74_1.0 │ │ │ │ │ │ ├── D_FlipFlop_Set_Reset.v │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── D_FlipFlop_Set_Reset_v1_0.tcl │ │ │ │ │ │ ├── xup_clk_div_1.0.zip │ │ │ │ │ │ └── xup_clk_div_1.0 │ │ │ │ │ │ ├── clk_div.v │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── clk_div_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Frequency_Divider_Design.tcl │ │ │ │ │ └── freq_divider.tcl │ │ │ └── readme.txt │ │ └── Shifter │ │ │ ├── Ready_for_download │ │ │ └── B3_shifter_download.bit │ │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── Shifter.xdc │ │ │ ├── HDL_source │ │ │ │ └── IP_Catalog │ │ │ │ │ ├── xup_74LS164_1.0.zip │ │ │ │ │ ├── xup_74LS164_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── shift_register_8bit.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── shift_register_8bit_v1_0.tcl │ │ │ │ │ ├── xup_clk_div_1.0.zip │ │ │ │ │ └── xup_clk_div_1.0 │ │ │ │ │ ├── clk_div.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ └── clk_div_v1_0.tcl │ │ │ └── Tcl │ │ │ │ ├── Shifter_Design.tcl │ │ │ │ └── shifter.tcl │ │ │ └── readme.txt │ └── 3_State_Machine │ │ ├── Single_Cycle_32bits_processor │ │ ├── MICS │ │ │ ├── 1.png │ │ │ ├── 2.png │ │ │ ├── 3.png │ │ │ ├── 4.png │ │ │ ├── 5.jpg │ │ │ ├── 6.jpg │ │ │ └── 7.jpg │ │ ├── README.md │ │ └── src │ │ │ ├── Constraint │ │ │ └── MIPS_CPU.xdc │ │ │ ├── IPCatalog │ │ │ ├── ALU │ │ │ │ └── ALU.srcs │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── ALU_TB.sv │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ ├── ALU.v │ │ │ │ │ │ ├── LOGIC.v │ │ │ │ │ │ ├── MATH.v │ │ │ │ │ │ └── SHIFT.v │ │ │ │ │ └── xgui │ │ │ │ │ └── ALU32_v1_0.tcl │ │ │ ├── CONTROL_UNIT │ │ │ │ └── CONTROL_UNIT.srcs │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── CONTROL_UNIT_TB.sv │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── CONTROL_UNIT.v │ │ │ │ │ └── xgui │ │ │ │ │ └── CONTROL_UNIT_v1_0.tcl │ │ │ ├── DATAPATH │ │ │ │ └── DATAPATH.srcs │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ ├── ADDSUB32.v │ │ │ │ │ ├── DATAPATH.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ └── DATAPATH_v1_0.tcl │ │ │ ├── DATA_MEM │ │ │ │ └── DATA_MEM.srcs │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ ├── DATA_MEM.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ └── DATA_MEM_v1_0.tcl │ │ │ ├── INST_MEM │ │ │ │ └── INST_MEM.srcs │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ ├── INST_MEM.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ └── INST_MEM_v1_0.tcl │ │ │ ├── KEY2INST │ │ │ │ └── KEY2INST.srcs │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── KEY2INST_TB.sv │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── KEY2INST.v │ │ │ │ │ └── xgui │ │ │ │ │ └── KEY2INST_v1_0.tcl │ │ │ ├── REGFILE │ │ │ │ └── REGFILE.srcs │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── REGFILE_TB.sv │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── REGFILE.v │ │ │ │ │ └── xgui │ │ │ │ │ └── REGFILE_v1_0.tcl │ │ │ └── SHOW_ON_LED │ │ │ │ └── SHOW_ON_LED.srcs │ │ │ │ └── sources_1 │ │ │ │ └── new │ │ │ │ ├── SHOW_ON_LED.v │ │ │ │ ├── component.xml │ │ │ │ └── xgui │ │ │ │ └── SHOW_ON_LED_v1_0.tcl │ │ │ ├── Sim │ │ │ └── MIPS_CPU_TB.sv │ │ │ └── Tcl │ │ │ └── MIPS_CPU_Design.tcl │ │ ├── Traffic_Light │ │ ├── Ready_for_download │ │ │ └── B3_TrafficLight_download.bit │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── TrafficLight.xdc │ │ │ ├── HDL_source │ │ │ │ └── IP_Catalog │ │ │ │ │ ├── XUP_bin2bcd_8bits_1.0.zip │ │ │ │ │ ├── XUP_bin2bcd_8bits_1.0 │ │ │ │ │ ├── bin2bcd_8bits.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ │ └── bin2bcd_8bits_v1_0.tcl │ │ │ │ │ ├── XUP_trafficLights_controller_1.0.zip │ │ │ │ │ ├── XUP_trafficLights_controller_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── trafficlights_controller.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── trafficLights_controller_v1_0.tcl │ │ │ │ │ ├── xup_seg7decimal_1.0.zip │ │ │ │ │ └── xup_seg7decimal_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal.v │ │ │ │ │ └── xgui │ │ │ │ │ └── seg7decimal_v1_0.tcl │ │ │ └── Tcl │ │ │ │ ├── TrafficLight.tcl │ │ │ │ └── TrafficLight_Design.tcl │ │ └── readme.txt │ │ └── taxi │ │ ├── Ready_for_download │ │ └── taxi.bit │ │ └── src │ │ ├── Constraint │ │ └── taxi.xdc │ │ ├── HDL_source │ │ ├── bcd.v │ │ ├── seg_display.v │ │ ├── taxi.v │ │ └── top.v │ │ ├── prj │ │ ├── taxi.cache │ │ │ └── wt │ │ │ │ ├── synthesis.wdf │ │ │ │ ├── synthesis_details.wdf │ │ │ │ └── webtalk_pa.xml │ │ ├── taxi.runs │ │ │ ├── .jobs │ │ │ │ ├── vrs_config_1.xml │ │ │ │ └── vrs_config_2.xml │ │ │ ├── impl_1 │ │ │ │ ├── .Vivado_Implementation.queue.rst │ │ │ │ ├── .init_design.begin.rst │ │ │ │ ├── .init_design.end.rst │ │ │ │ ├── .opt_design.begin.rst │ │ │ │ ├── .opt_design.end.rst │ │ │ │ ├── .place_design.begin.rst │ │ │ │ ├── .place_design.end.rst │ │ │ │ ├── .route_design.begin.rst │ │ │ │ ├── .route_design.end.rst │ │ │ │ ├── .vivado.begin.rst │ │ │ │ ├── .vivado.end.rst │ │ │ │ ├── .write_bitstream.begin.rst │ │ │ │ ├── .write_bitstream.end.rst │ │ │ │ ├── ISEWrap.js │ │ │ │ ├── ISEWrap.sh │ │ │ │ ├── gen_run.xml │ │ │ │ ├── htr.txt │ │ │ │ ├── init_design.pb │ │ │ │ ├── opt_design.pb │ │ │ │ ├── place_design.pb │ │ │ │ ├── project.wdf │ │ │ │ ├── route_design.pb │ │ │ │ ├── rundef.js │ │ │ │ ├── runme.bat │ │ │ │ ├── runme.log │ │ │ │ ├── runme.sh │ │ │ │ ├── top.bit │ │ │ │ ├── top.tcl │ │ │ │ ├── top.vdi │ │ │ │ ├── top_clock_utilization_placed.rpt │ │ │ │ ├── top_control_sets_placed.rpt │ │ │ │ ├── top_drc_opted.rpt │ │ │ │ ├── top_drc_routed.pb │ │ │ │ ├── top_drc_routed.rpt │ │ │ │ ├── top_io_placed.rpt │ │ │ │ ├── top_opt.dcp │ │ │ │ ├── top_placed.dcp │ │ │ │ ├── top_power_routed.rpt │ │ │ │ ├── top_power_summary_routed.pb │ │ │ │ ├── top_route_status.pb │ │ │ │ ├── top_route_status.rpt │ │ │ │ ├── top_routed.dcp │ │ │ │ ├── top_timing_summary_routed.rpt │ │ │ │ ├── top_timing_summary_routed.rpx │ │ │ │ ├── top_utilization_placed.pb │ │ │ │ ├── top_utilization_placed.rpt │ │ │ │ ├── usage_statistics_webtalk.html │ │ │ │ ├── usage_statistics_webtalk.xml │ │ │ │ ├── vivado.jou │ │ │ │ ├── vivado.pb │ │ │ │ └── write_bitstream.pb │ │ │ └── synth_1 │ │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ │ ├── .vivado.begin.rst │ │ │ │ ├── .vivado.end.rst │ │ │ │ ├── ISEWrap.js │ │ │ │ ├── ISEWrap.sh │ │ │ │ ├── gen_run.xml │ │ │ │ ├── htr.txt │ │ │ │ ├── project.wdf │ │ │ │ ├── rundef.js │ │ │ │ ├── runme.bat │ │ │ │ ├── runme.log │ │ │ │ ├── runme.sh │ │ │ │ ├── top.dcp │ │ │ │ ├── top.tcl │ │ │ │ ├── top.vds │ │ │ │ ├── top_utilization_synth.pb │ │ │ │ ├── top_utilization_synth.rpt │ │ │ │ ├── vivado.jou │ │ │ │ └── vivado.pb │ │ ├── taxi.srcs │ │ │ ├── constrs_1 │ │ │ │ └── imports │ │ │ │ │ └── Constraint │ │ │ │ │ └── taxi.xdc │ │ │ └── sources_1 │ │ │ │ └── imports │ │ │ │ └── HDL_source │ │ │ │ ├── bcd.v │ │ │ │ ├── seg_display.v │ │ │ │ ├── taxi.v │ │ │ │ └── top.v │ │ └── taxi.xpr │ │ └── tcl │ │ └── taxi.tcl ├── 2_Control_and_Measurement │ ├── Ball_Tracking │ │ ├── Ready_for_download │ │ │ └── B3_BallTrack_download.bit │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── B3_Balltrack.xdc │ │ │ ├── HDL_source │ │ │ │ └── IP_Catalog │ │ │ │ │ ├── XUP_ColorDetect_V1.0.zip │ │ │ │ │ ├── XUP_ColorDetect_V1.0 │ │ │ │ │ └── XUP_ColorDetect_V1.0 │ │ │ │ │ │ ├── BW.v │ │ │ │ │ │ ├── center.v │ │ │ │ │ │ ├── colorDetect.v │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── new │ │ │ │ │ │ └── weight_cal.v │ │ │ │ │ │ ├── render.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── colorDetect_v1_0.tcl │ │ │ │ │ ├── XUP_Dilate_V1.0.zip │ │ │ │ │ ├── XUP_Dilate_V1.0 │ │ │ │ │ └── XUP_Dilate_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── dilate.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── dilate_v1_0.tcl │ │ │ │ │ ├── XUP_Erode_V1.0.zip │ │ │ │ │ ├── XUP_Erode_V1.0 │ │ │ │ │ └── XUP_Erode_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── erode.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── erode_v1_0.tcl │ │ │ │ │ ├── XUP_IICctrl_1.0.zip │ │ │ │ │ ├── XUP_IICctrl_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── iic_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── imports │ │ │ │ │ │ │ └── src │ │ │ │ │ │ │ ├── I2C_Controller.v │ │ │ │ │ │ │ └── IICctrl.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── IICctrl_v1_0.tcl │ │ │ │ │ ├── XUP_PWM_gen_1.0.zip │ │ │ │ │ ├── XUP_PWM_gen_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── pwm_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── PWM_gen.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── PWM_gen_v1_0.tcl │ │ │ │ │ ├── XUP_RGB16_24_V1.0.zip │ │ │ │ │ ├── XUP_RGB16_24_V1.0 │ │ │ │ │ └── XUP_RGB16_24_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── rgb565_rgb888.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── rgb565_rgb888_v1_0.tcl │ │ │ │ │ ├── XUP_RGB24_16_V1.0.zip │ │ │ │ │ ├── XUP_RGB24_16_V1.0 │ │ │ │ │ └── XUP_RGB24_16_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── rgb888_rgb565.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── rgb888_rgb565_v1_0.tcl │ │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0.zip │ │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0 │ │ │ │ │ ├── cam_ov7670_ov7725.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ │ └── cam_ov7670_ov7725_v1_0.tcl │ │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ │ ├── XUP_move_en_1.0.zip │ │ │ │ │ ├── XUP_move_en_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── move_en.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── move_en_v1_0.tcl │ │ │ │ │ ├── XUP_ov7725_regData_1.0.zip │ │ │ │ │ ├── XUP_ov7725_regData_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── ov7725_regData.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── ov7725_regData_v1_0.tcl │ │ │ │ │ ├── XUP_ram_read_1.0.zip │ │ │ │ │ ├── XUP_ram_read_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── ram_read.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── ram_read_v1_0.tcl │ │ │ │ │ ├── XUP_region_cut_1.0.zip │ │ │ │ │ ├── XUP_region_cut_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── region_cut.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── region_cut_v1_0.tcl │ │ │ │ │ ├── XUP_servo_ctrl_1.0.zip │ │ │ │ │ ├── XUP_servo_ctrl_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── imports │ │ │ │ │ │ └── servo_ip │ │ │ │ │ │ │ ├── pwm_gen_x.v │ │ │ │ │ │ │ └── pwm_gen_y.v │ │ │ │ │ ├── new │ │ │ │ │ │ └── servo_ctrl.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── servo_ctrl_v1_0.tcl │ │ │ │ │ ├── XUP_vga_1.0.zip │ │ │ │ │ ├── XUP_vga_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── vga.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── vga_v1_0.tcl │ │ │ │ │ ├── XUP_xadc_1.0.zip │ │ │ │ │ ├── XUP_xadc_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── ip │ │ │ │ │ │ └── xadc_wiz_0 │ │ │ │ │ │ │ └── xadc_wiz_0.xci │ │ │ │ │ ├── new │ │ │ │ │ │ └── xadc.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── xadc_v1_0.tcl │ │ │ │ │ ├── xup_rgb2hsv_top_1.0.zip │ │ │ │ │ └── xup_rgb2hsv_top_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── rgb2hsv_top.v │ │ │ │ │ └── xgui │ │ │ │ │ └── rgb2hsv_top_v1_0.tcl │ │ │ └── Tcl │ │ │ │ ├── .Xil │ │ │ │ └── Vivado-7632-XSHZHEHENGT30 │ │ │ │ │ └── coregen │ │ │ │ │ ├── bram_temp │ │ │ │ │ ├── bram_temp.xci │ │ │ │ │ └── bram_temp.xml │ │ │ │ │ └── clock_temp │ │ │ │ │ ├── clock_temp.xci │ │ │ │ │ └── clock_temp.xml │ │ │ │ ├── Basys3_BallTrack.tcl │ │ │ │ └── design_1.tcl │ │ └── readme.txt │ ├── Oscilloscope │ │ ├── Ready_for_download │ │ │ └── B3_OSC_download.bit │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── oscilloscope.xdc │ │ │ ├── HDL_source │ │ │ │ ├── Fre_Calculate.v │ │ │ │ ├── Fre_Vopp_mapping_rom.v │ │ │ │ ├── IP_Catalog │ │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ │ └── new │ │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ │ └── new │ │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ │ ├── XUP_vga_1.0.zip │ │ │ │ │ ├── XUP_vga_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── vga.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── vga_v1_0.tcl │ │ │ │ │ ├── XUP_xadc_1.0.zip │ │ │ │ │ └── XUP_xadc_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── ip │ │ │ │ │ │ └── xadc_wiz_0 │ │ │ │ │ │ │ └── xadc_wiz_0.xci │ │ │ │ │ │ ├── new │ │ │ │ │ │ └── xadc.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── xadc_v1_0.tcl │ │ │ │ ├── OSC_top.v │ │ │ │ ├── char_rom_mapping.v │ │ │ │ ├── clock │ │ │ │ │ └── clock.xci │ │ │ │ ├── clock_control.v │ │ │ │ ├── debounce_0 │ │ │ │ │ ├── debounce_0.dcp │ │ │ │ │ ├── debounce_0.veo │ │ │ │ │ ├── debounce_0.xci │ │ │ │ │ ├── debounce_0.xml │ │ │ │ │ ├── debounce_0_funcsim.v │ │ │ │ │ ├── debounce_0_funcsim.vhdl │ │ │ │ │ ├── debounce_0_stub.v │ │ │ │ │ ├── debounce_0_stub.vhdl │ │ │ │ │ ├── sim │ │ │ │ │ │ └── debounce_0.v │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ └── synth │ │ │ │ │ │ └── debounce_0.v │ │ │ │ ├── trigger.v │ │ │ │ ├── vga_0 │ │ │ │ │ ├── sim │ │ │ │ │ │ └── vga_0.v │ │ │ │ │ ├── synth │ │ │ │ │ │ └── vga_0.v │ │ │ │ │ ├── vga.v │ │ │ │ │ ├── vga_0.dcp │ │ │ │ │ ├── vga_0.veo │ │ │ │ │ ├── vga_0.xci │ │ │ │ │ ├── vga_0.xml │ │ │ │ │ ├── vga_0_funcsim.v │ │ │ │ │ ├── vga_0_funcsim.vhdl │ │ │ │ │ ├── vga_0_stub.v │ │ │ │ │ └── vga_0_stub.vhdl │ │ │ │ ├── vga_initials.v │ │ │ │ ├── waveform_mapping_rom.v │ │ │ │ ├── waveform_ram.v │ │ │ │ └── xadc_0 │ │ │ │ │ ├── ip │ │ │ │ │ └── xadc_wiz_0 │ │ │ │ │ │ ├── xadc_wiz_0.v │ │ │ │ │ │ ├── xadc_wiz_0.xci │ │ │ │ │ │ ├── xadc_wiz_0.xdc │ │ │ │ │ │ ├── xadc_wiz_0.xml │ │ │ │ │ │ ├── xadc_wiz_0 │ │ │ │ │ │ └── simulation │ │ │ │ │ │ │ ├── functional │ │ │ │ │ │ │ └── design.txt │ │ │ │ │ │ │ └── timing │ │ │ │ │ │ │ └── design.txt │ │ │ │ │ │ └── xadc_wiz_0_ooc.xdc │ │ │ │ │ ├── new │ │ │ │ │ └── xadc.v │ │ │ │ │ ├── sim │ │ │ │ │ └── xadc_0.v │ │ │ │ │ ├── synth │ │ │ │ │ └── xadc_0.v │ │ │ │ │ ├── xadc_0.dcp │ │ │ │ │ ├── xadc_0.veo │ │ │ │ │ ├── xadc_0.xci │ │ │ │ │ ├── xadc_0.xml │ │ │ │ │ ├── xadc_0_funcsim.v │ │ │ │ │ ├── xadc_0_funcsim.vhdl │ │ │ │ │ ├── xadc_0_stub.v │ │ │ │ │ └── xadc_0_stub.vhdl │ │ │ └── Tcl │ │ │ │ └── Oscilloscope.tcl │ │ └── readme.txt │ └── Signal_Generator │ │ ├── Src │ │ ├── Constraint │ │ │ └── signal_gen.xdc │ │ ├── HDL_source │ │ │ ├── IP_Catalog │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ ├── xup_seg7decimal_1.0.zip │ │ │ │ └── xup_seg7decimal_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal.v │ │ │ │ │ └── xgui │ │ │ │ │ └── seg7decimal_v1_0.tcl │ │ │ ├── clock │ │ │ │ └── clock.xci │ │ │ ├── debounce_0 │ │ │ │ └── debounce_0.xci │ │ │ ├── div_gen_0 │ │ │ │ └── div_gen_0.xci │ │ │ ├── seg7decimal_0 │ │ │ │ └── seg7decimal_0.xci │ │ │ └── waveform_gen.v │ │ └── Tcl │ │ │ └── Signal_Generator.tcl │ │ └── readme.txt ├── 3_Signal_Image_Video_Processing │ ├── Image_Binarization │ │ ├── Ready_for_download │ │ │ └── B3_imageBinarization_download.bit │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── B3_imageBinarization.xdc │ │ │ ├── HDL_source │ │ │ │ └── IP_Catalog │ │ │ │ │ ├── XUP_IICctrl_1.0.zip │ │ │ │ │ ├── XUP_IICctrl_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── iic_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── imports │ │ │ │ │ │ │ └── src │ │ │ │ │ │ │ ├── I2C_Controller.v │ │ │ │ │ │ │ └── IICctrl.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── IICctrl_v1_0.tcl │ │ │ │ │ ├── XUP_RGB16_24_V1.0.zip │ │ │ │ │ ├── XUP_RGB16_24_V1.0 │ │ │ │ │ └── XUP_RGB16_24_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── rgb565_rgb888.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── rgb565_rgb888_v1_0.tcl │ │ │ │ │ ├── XUP_RGB24_16_V1.0.zip │ │ │ │ │ ├── XUP_RGB24_16_V1.0 │ │ │ │ │ └── XUP_RGB24_16_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── rgb888_rgb565.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── rgb888_rgb565_v1_0.tcl │ │ │ │ │ ├── XUP_binarization_1.0.zip │ │ │ │ │ ├── XUP_binarization_1.0 │ │ │ │ │ ├── binarization.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ │ └── binarization_v1_0.tcl │ │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0.zip │ │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0 │ │ │ │ │ ├── cam_ov7670_ov7725.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ │ └── cam_ov7670_ov7725_v1_0.tcl │ │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ │ ├── XUP_ov7725_regData_1.0.zip │ │ │ │ │ ├── XUP_ov7725_regData_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── ov7725_regData.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── ov7725_regData_v1_0.tcl │ │ │ │ │ ├── XUP_ram_read_1.0.zip │ │ │ │ │ ├── XUP_ram_read_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── ram_read.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── ram_read_v1_0.tcl │ │ │ │ │ ├── XUP_region_cut_1.0.zip │ │ │ │ │ ├── XUP_region_cut_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── region_cut.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── region_cut_v1_0.tcl │ │ │ │ │ ├── XUP_vga_1.0.zip │ │ │ │ │ └── XUP_vga_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── vga.v │ │ │ │ │ └── xgui │ │ │ │ │ └── vga_v1_0.tcl │ │ │ └── Tcl │ │ │ │ ├── .Xil │ │ │ │ └── Vivado-104052-XSHZHEHENGT30 │ │ │ │ │ └── coregen │ │ │ │ │ ├── bram_temp │ │ │ │ │ ├── bram_temp.xci │ │ │ │ │ └── bram_temp.xml │ │ │ │ │ └── clock_temp │ │ │ │ │ ├── clock_temp.xci │ │ │ │ │ └── clock_temp.xml │ │ │ │ ├── Image_Binarization.tcl │ │ │ │ └── design_1.tcl │ │ └── readme.txt │ └── Sobel_Filter │ │ ├── Ready_for_download │ │ └── B3_sobel_download.bit │ │ ├── Src │ │ ├── Constraint │ │ │ └── B3_sobel.xdc │ │ ├── HDL_source │ │ │ └── IP_Catalog │ │ │ │ ├── XUP_IICctrl_1.0.zip │ │ │ │ ├── XUP_IICctrl_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── iic_tb.v │ │ │ │ ├── sources_1 │ │ │ │ │ └── imports │ │ │ │ │ │ └── src │ │ │ │ │ │ ├── I2C_Controller.v │ │ │ │ │ │ └── IICctrl.v │ │ │ │ └── xgui │ │ │ │ │ └── IICctrl_v1_0.tcl │ │ │ │ ├── XUP_RGB16_24_V1.0.zip │ │ │ │ ├── XUP_RGB16_24_V1.0 │ │ │ │ └── XUP_RGB16_24_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── rgb565_rgb888.v │ │ │ │ │ └── xgui │ │ │ │ │ └── rgb565_rgb888_v1_0.tcl │ │ │ │ ├── XUP_RGB24_16_V1.0.zip │ │ │ │ ├── XUP_RGB24_16_V1.0 │ │ │ │ └── XUP_RGB24_16_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── rgb888_rgb565.v │ │ │ │ │ └── xgui │ │ │ │ │ └── rgb888_rgb565_v1_0.tcl │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0.zip │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0 │ │ │ │ ├── cam_ov7670_ov7725.v │ │ │ │ ├── component.xml │ │ │ │ └── xgui │ │ │ │ │ └── cam_ov7670_ov7725_v1_0.tcl │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── debounce.v │ │ │ │ └── xgui │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ ├── XUP_ov7725_regData_1.0.zip │ │ │ │ ├── XUP_ov7725_regData_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── ov7725_regData.v │ │ │ │ └── xgui │ │ │ │ │ └── ov7725_regData_v1_0.tcl │ │ │ │ ├── XUP_ram_read_1.0.zip │ │ │ │ ├── XUP_ram_read_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── ram_read.v │ │ │ │ └── xgui │ │ │ │ │ └── ram_read_v1_0.tcl │ │ │ │ ├── XUP_region_cut_1.0.zip │ │ │ │ ├── XUP_region_cut_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── region_cut.v │ │ │ │ └── xgui │ │ │ │ │ └── region_cut_v1_0.tcl │ │ │ │ ├── XUP_sobel_1.0.zip │ │ │ │ ├── XUP_sobel_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sobel.v │ │ │ │ └── xgui │ │ │ │ │ └── sobel_v1_0.tcl │ │ │ │ ├── XUP_vga_1.0.zip │ │ │ │ └── XUP_vga_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── vga.v │ │ │ │ └── xgui │ │ │ │ └── vga_v1_0.tcl │ │ └── Tcl │ │ │ ├── .Xil │ │ │ └── Vivado-104052-XSHZHEHENGT30 │ │ │ │ └── coregen │ │ │ │ ├── bram_temp │ │ │ │ ├── bram_temp.xci │ │ │ │ └── bram_temp.xml │ │ │ │ └── clock_temp │ │ │ │ ├── clock_temp.xci │ │ │ │ └── clock_temp.xml │ │ │ ├── Sobel_Filter.tcl │ │ │ └── design_1.tcl │ │ └── readme.txt ├── 4_Microblaze │ ├── HelloWorld │ │ ├── C_source │ │ │ └── helloworld.c │ │ ├── Constraint │ │ │ └── B3_MB_uart.xdc │ │ └── Tcl │ │ │ ├── MB_Uart.tcl │ │ │ └── design_1.tcl │ └── OLED │ │ ├── Ready_for_download │ │ └── design_1_wrapper.bit │ │ ├── Src │ │ ├── Constraint │ │ │ └── OLED_Microblaze.xdc │ │ ├── HDL_source │ │ │ └── IP_Catalog │ │ │ │ ├── XUP_74LS08_1.0.zip │ │ │ │ ├── XUP_74LS08_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── four_2_input_and_gate.v │ │ │ │ └── xgui │ │ │ │ │ └── four_2_input_and_gate_v1_0.tcl │ │ │ │ ├── xup_74LS90_1.0.zip │ │ │ │ ├── xup_74LS90_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── decimal_counter.v │ │ │ │ └── xgui │ │ │ │ │ └── decimal_counter_v1_0.tcl │ │ │ │ ├── xup_clk_div_1.0.zip │ │ │ │ ├── xup_clk_div_1.0 │ │ │ │ ├── clk_div.v │ │ │ │ ├── component.xml │ │ │ │ └── xgui │ │ │ │ │ └── clk_div_v1_0.tcl │ │ │ │ ├── xup_seg7decimal_1.0.zip │ │ │ │ └── xup_seg7decimal_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ └── new │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ ├── sources_1 │ │ │ │ └── new │ │ │ │ │ └── seg7decimal.v │ │ │ │ └── xgui │ │ │ │ └── seg7decimal_v1_0.tcl │ │ ├── Tcl │ │ │ ├── OLED.tcl │ │ │ └── OLED_Design.tcl │ │ └── sdk_code │ │ │ ├── gpio_header.h │ │ │ ├── intc_header.h │ │ │ ├── lscript.ld │ │ │ ├── spi_header.h │ │ │ ├── spi_intr_header.h │ │ │ ├── testperiph.c │ │ │ ├── xgpio_tapp_example.c │ │ │ ├── xil_oled.c │ │ │ ├── xil_oled.h │ │ │ ├── xintc_tapp_example.c │ │ │ ├── xspi_intr_example.c │ │ │ └── xspi_selftest_example.c │ │ └── readme.txt └── 5_HLS │ ├── Ball_Tracking_with_hls_sobel │ ├── Ready_for_download │ │ └── B3_BallTrack_download.bit │ ├── Src │ │ ├── Constraint │ │ │ └── B3_Balltrack.xdc │ │ ├── HDL_source │ │ │ └── IP_Catalog │ │ │ │ ├── XUP_ColorDetect_V1.0.zip │ │ │ │ ├── XUP_ColorDetect_V1.0 │ │ │ │ └── XUP_ColorDetect_V1.0 │ │ │ │ │ ├── BW.v │ │ │ │ │ ├── center.v │ │ │ │ │ ├── colorDetect.v │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── new │ │ │ │ │ └── weight_cal.v │ │ │ │ │ ├── render.v │ │ │ │ │ └── xgui │ │ │ │ │ └── colorDetect_v1_0.tcl │ │ │ │ ├── XUP_Dilate_V1.0.zip │ │ │ │ ├── XUP_Dilate_V1.0 │ │ │ │ └── XUP_Dilate_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── dilate.v │ │ │ │ │ └── xgui │ │ │ │ │ └── dilate_v1_0.tcl │ │ │ │ ├── XUP_Erode_V1.0.zip │ │ │ │ ├── XUP_Erode_V1.0 │ │ │ │ └── XUP_Erode_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── erode.v │ │ │ │ │ └── xgui │ │ │ │ │ └── erode_v1_0.tcl │ │ │ │ ├── XUP_IICctrl_1.0.zip │ │ │ │ ├── XUP_IICctrl_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── iic_tb.v │ │ │ │ ├── sources_1 │ │ │ │ │ └── imports │ │ │ │ │ │ └── src │ │ │ │ │ │ ├── I2C_Controller.v │ │ │ │ │ │ └── IICctrl.v │ │ │ │ └── xgui │ │ │ │ │ └── IICctrl_v1_0.tcl │ │ │ │ ├── XUP_PWM_gen_1.0.zip │ │ │ │ ├── XUP_PWM_gen_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── pwm_tb.v │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── PWM_gen.v │ │ │ │ └── xgui │ │ │ │ │ └── PWM_gen_v1_0.tcl │ │ │ │ ├── XUP_RGB16_24_V1.0.zip │ │ │ │ ├── XUP_RGB16_24_V1.0 │ │ │ │ └── XUP_RGB16_24_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── rgb565_rgb888.v │ │ │ │ │ └── xgui │ │ │ │ │ └── rgb565_rgb888_v1_0.tcl │ │ │ │ ├── XUP_RGB24_16_V1.0.zip │ │ │ │ ├── XUP_RGB24_16_V1.0 │ │ │ │ └── XUP_RGB24_16_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── rgb888_rgb565.v │ │ │ │ │ └── xgui │ │ │ │ │ └── rgb888_rgb565_v1_0.tcl │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0.zip │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0 │ │ │ │ ├── cam_ov7670_ov7725.v │ │ │ │ ├── component.xml │ │ │ │ └── xgui │ │ │ │ │ └── cam_ov7670_ov7725_v1_0.tcl │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── debounce.v │ │ │ │ └── xgui │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ ├── XUP_move_en_1.0.zip │ │ │ │ ├── XUP_move_en_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── move_en.v │ │ │ │ └── xgui │ │ │ │ │ └── move_en_v1_0.tcl │ │ │ │ ├── XUP_ov7725_regData_1.0.zip │ │ │ │ ├── XUP_ov7725_regData_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── ov7725_regData.v │ │ │ │ └── xgui │ │ │ │ │ └── ov7725_regData_v1_0.tcl │ │ │ │ ├── XUP_ram_read_1.0.zip │ │ │ │ ├── XUP_ram_read_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── ram_read.v │ │ │ │ └── xgui │ │ │ │ │ └── ram_read_v1_0.tcl │ │ │ │ ├── XUP_region_cut_1.0.zip │ │ │ │ ├── XUP_region_cut_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── region_cut.v │ │ │ │ └── xgui │ │ │ │ │ └── region_cut_v1_0.tcl │ │ │ │ ├── XUP_servo_ctrl_1.0.zip │ │ │ │ ├── XUP_servo_ctrl_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── imports │ │ │ │ │ └── servo_ip │ │ │ │ │ │ ├── pwm_gen_x.v │ │ │ │ │ │ └── pwm_gen_y.v │ │ │ │ ├── new │ │ │ │ │ └── servo_ctrl.v │ │ │ │ └── xgui │ │ │ │ │ └── servo_ctrl_v1_0.tcl │ │ │ │ ├── XUP_vga_1.0.zip │ │ │ │ ├── XUP_vga_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── vga.v │ │ │ │ └── xgui │ │ │ │ │ └── vga_v1_0.tcl │ │ │ │ ├── XUP_xadc_1.0.zip │ │ │ │ ├── XUP_xadc_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── ip │ │ │ │ │ └── xadc_wiz_0 │ │ │ │ │ │ └── xadc_wiz_0.xci │ │ │ │ ├── new │ │ │ │ │ └── xadc.v │ │ │ │ └── xgui │ │ │ │ │ └── xadc_v1_0.tcl │ │ │ │ ├── xilinx.com_user_two2one_mux_1.1.zip │ │ │ │ ├── xilinx.com_user_two2one_mux_1.1 │ │ │ │ ├── component.xml │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── test.v │ │ │ │ └── xgui │ │ │ │ │ └── two2one_mux_v1_1.tcl │ │ │ │ ├── xilinx_com_hls_sobel_filter_1_0.zip │ │ │ │ ├── xilinx_com_hls_sobel_filter_1_0 │ │ │ │ ├── component.xml │ │ │ │ ├── constraints │ │ │ │ │ └── sobel_filter_ooc.xdc │ │ │ │ ├── doc │ │ │ │ │ └── ReleaseNotes.txt │ │ │ │ ├── hdl │ │ │ │ │ ├── verilog │ │ │ │ │ │ ├── sobel_filter.v │ │ │ │ │ │ ├── sobel_filter_buff_A_M_0.v │ │ │ │ │ │ └── sobel_filter_buff_A_M_1.v │ │ │ │ │ └── vhdl │ │ │ │ │ │ ├── sobel_filter.vhd │ │ │ │ │ │ ├── sobel_filter_buff_A_M_0.vhd │ │ │ │ │ │ └── sobel_filter_buff_A_M_1.vhd │ │ │ │ ├── misc │ │ │ │ │ └── logo.png │ │ │ │ └── xgui │ │ │ │ │ └── sobel_filter_v1_0.tcl │ │ │ │ ├── xup_rgb2hsv_top_1.0.zip │ │ │ │ └── xup_rgb2hsv_top_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── rgb2hsv_top.v │ │ │ │ └── xgui │ │ │ │ └── rgb2hsv_top_v1_0.tcl │ │ └── Tcl │ │ │ ├── .Xil │ │ │ ├── Vivado-7632-XSHZHEHENGT30 │ │ │ │ └── coregen │ │ │ │ │ ├── bram_temp │ │ │ │ │ ├── bram_temp.xci │ │ │ │ │ └── bram_temp.xml │ │ │ │ │ └── clock_temp │ │ │ │ │ ├── clock_temp.xci │ │ │ │ │ └── clock_temp.xml │ │ │ ├── Vivado-9904-XSHZHEHENGT30 │ │ │ │ └── coregen │ │ │ │ │ ├── bram_temp │ │ │ │ │ ├── bram_temp.xci │ │ │ │ │ └── bram_temp.xml │ │ │ │ │ └── clock_temp │ │ │ │ │ ├── clock_temp.xci │ │ │ │ │ └── clock_temp.xml │ │ │ └── Vivado-9964-XSHZHEHENGT30 │ │ │ │ └── coregen │ │ │ │ ├── bram_temp │ │ │ │ ├── bram_temp.xci │ │ │ │ └── bram_temp.xml │ │ │ │ └── clock_temp │ │ │ │ ├── clock_temp.xci │ │ │ │ └── clock_temp.xml │ │ │ ├── Basys3_BallTrack.tcl │ │ │ ├── design_1.tcl │ │ │ ├── hs_err_pid26020.dmp │ │ │ └── hs_err_pid26020.log │ └── readme.txt │ └── sobel_hls │ ├── ap_axi_sdata.h │ ├── ap_bmp.cpp │ ├── ap_bmp.h │ ├── ap_interfaces.h │ ├── ap_video.h │ ├── readme.txt │ ├── result_1080p_golden.bmp │ ├── sobel.cpp │ ├── sobel.h │ ├── sobel.tcl │ ├── sobel_rd_prj │ ├── .apc │ │ └── autopilot.apfmapping │ ├── .cproject │ ├── .project │ ├── .settings │ │ ├── sobel_rd_prj.Debug.launch │ │ └── sobel_rd_prj.Release.launch │ ├── .vivado_hls_log.xml │ ├── .vivado_hls_log_all.xml │ ├── result_1080p_golden.bmp │ ├── solution3 │ │ ├── .autopilot │ │ │ ├── .automg_exit │ │ │ ├── .autopilot_exit │ │ │ └── db │ │ │ │ ├── __ctype_info__.xml │ │ │ │ ├── a.export.ll │ │ │ │ ├── a.g │ │ │ │ ├── a.g.0 │ │ │ │ ├── a.g.0.bc │ │ │ │ ├── a.g.1.bc │ │ │ │ ├── a.g.1.ll │ │ │ │ ├── a.g.2.bc │ │ │ │ ├── a.g.2.prechk.bc │ │ │ │ ├── a.g.bc │ │ │ │ ├── a.g.pre │ │ │ │ ├── a.g.pre.bc │ │ │ │ ├── a.o │ │ │ │ ├── a.o.1.bc │ │ │ │ ├── a.o.1.ll │ │ │ │ ├── a.o.1.tmp.bc │ │ │ │ ├── a.o.2.bc │ │ │ │ ├── a.o.2.ll │ │ │ │ ├── a.o.3.bc │ │ │ │ ├── a.o.3.ll │ │ │ │ ├── a.o.bc │ │ │ │ ├── a.o.pre │ │ │ │ ├── a.o.pre.bc │ │ │ │ ├── a.pp.0.bc │ │ │ │ ├── a.pp.bc │ │ │ │ ├── autopilot.flow.log │ │ │ │ ├── autopilot.rtl.models.txt │ │ │ │ ├── global.setting.tcl │ │ │ │ ├── hls_design_meta.cpp │ │ │ │ ├── hls_design_meta.h │ │ │ │ ├── hls_design_meta.tcl │ │ │ │ ├── pragma.0.directive │ │ │ │ ├── pragma.status.tcl │ │ │ │ ├── sobel.bc │ │ │ │ ├── sobel.g.bc │ │ │ │ ├── sobel.pp.0.cpp │ │ │ │ ├── sobel.pp.0.cpp.ap-cdt.cpp │ │ │ │ ├── sobel.pp.0.cpp.ap-line.cpp │ │ │ │ ├── sobel.pp.0.cpp.ap-line.cpp.CXX │ │ │ │ ├── sobel.pp.00.o │ │ │ │ ├── sobel.pragma.0.cpp │ │ │ │ ├── sobel.pragma.0.cpp.ap-line.CXX │ │ │ │ ├── sobel.pragma.1.cpp │ │ │ │ ├── sobel.pragma.2.cpp │ │ │ │ ├── sobel_filter.adb │ │ │ │ ├── sobel_filter.adb.xml │ │ │ │ ├── sobel_filter.bind.adb │ │ │ │ ├── sobel_filter.bind.adb.xml │ │ │ │ ├── sobel_filter.compgen.tcl │ │ │ │ ├── sobel_filter.constraint.tcl │ │ │ │ ├── sobel_filter.design.xml │ │ │ │ ├── sobel_filter.rtl_wrap.cfg.tcl │ │ │ │ ├── sobel_filter.sched.adb │ │ │ │ ├── sobel_filter.sched.adb.xml │ │ │ │ ├── sobel_filter.sdaccel.xml │ │ │ │ ├── sobel_filter.tbgen.tcl │ │ │ │ ├── sobel_filter.verbose.bind.rpt │ │ │ │ ├── sobel_filter.verbose.bind.rpt.xml │ │ │ │ ├── sobel_filter.verbose.rpt │ │ │ │ ├── sobel_filter.verbose.rpt.xml │ │ │ │ ├── sobel_filter.verbose.sched.rpt │ │ │ │ ├── sobel_filter.verbose.sched.rpt.xml │ │ │ │ ├── sobel_filterap_header.systemc.txt.ap_header.txt │ │ │ │ ├── sobel_filterap_header.verilog.txt.ap_header.txt │ │ │ │ ├── sobel_filterap_header.vhdl.txt.ap_header.txt │ │ │ │ └── ve_warning.tcl │ │ ├── csim │ │ │ ├── .lst_opt.tcl │ │ │ ├── build │ │ │ │ ├── Makefile.rules │ │ │ │ ├── csim.exe │ │ │ │ ├── csim.mk │ │ │ │ ├── obj │ │ │ │ │ ├── .dir │ │ │ │ │ ├── ap_bmp.d │ │ │ │ │ ├── ap_bmp.o │ │ │ │ │ ├── sobel.d │ │ │ │ │ ├── sobel.o │ │ │ │ │ ├── sobel_test.d │ │ │ │ │ └── sobel_test.o │ │ │ │ ├── result_1080p.bmp │ │ │ │ ├── result_1080p_golden.bmp │ │ │ │ ├── run_sim.tcl │ │ │ │ ├── sim.bat │ │ │ │ └── test_1080p.bmp │ │ │ └── report │ │ │ │ └── sobel_filter_csim.log │ │ ├── directives.tcl │ │ ├── impl │ │ │ ├── ip │ │ │ │ ├── autoimpl.log │ │ │ │ ├── auxiliary.xml │ │ │ │ ├── component.xml │ │ │ │ ├── constraints │ │ │ │ │ └── sobel_filter_ooc.xdc │ │ │ │ ├── doc │ │ │ │ │ └── ReleaseNotes.txt │ │ │ │ ├── example │ │ │ │ │ ├── ipi_example.bat │ │ │ │ │ └── ipi_example.tcl │ │ │ │ ├── hdl │ │ │ │ │ ├── verilog │ │ │ │ │ │ ├── sobel_filter.v │ │ │ │ │ │ ├── sobel_filter_buff_A_M_0.v │ │ │ │ │ │ └── sobel_filter_buff_A_M_1.v │ │ │ │ │ └── vhdl │ │ │ │ │ │ ├── sobel_filter.vhd │ │ │ │ │ │ ├── sobel_filter_buff_A_M_0.vhd │ │ │ │ │ │ └── sobel_filter_buff_A_M_1.vhd │ │ │ │ ├── misc │ │ │ │ │ └── logo.png │ │ │ │ ├── pack.bat │ │ │ │ ├── run_ippack.tcl │ │ │ │ ├── vivado.jou │ │ │ │ ├── vivado.log │ │ │ │ ├── xgui │ │ │ │ │ └── sobel_filter_v1_0.tcl │ │ │ │ └── xilinx_com_hls_sobel_filter_1_0.zip │ │ │ ├── verilog │ │ │ │ ├── extraction.tcl │ │ │ │ ├── impl.bat │ │ │ │ ├── project.cache │ │ │ │ │ └── wt │ │ │ │ │ │ └── project.wpc │ │ │ │ ├── project.hw │ │ │ │ │ └── project.lpr │ │ │ │ ├── project.xpr │ │ │ │ ├── run_vivado.tcl │ │ │ │ ├── settings.tcl │ │ │ │ ├── sobel_filter.v │ │ │ │ ├── sobel_filter.xdc │ │ │ │ ├── sobel_filter_buff_A_M_0.v │ │ │ │ └── sobel_filter_buff_A_M_1.v │ │ │ └── vhdl │ │ │ │ ├── extraction.tcl │ │ │ │ ├── impl.bat │ │ │ │ ├── project.cache │ │ │ │ └── wt │ │ │ │ │ └── project.wpc │ │ │ │ ├── project.hw │ │ │ │ └── project.lpr │ │ │ │ ├── project.xpr │ │ │ │ ├── run_vivado.tcl │ │ │ │ ├── settings.tcl │ │ │ │ ├── sobel_filter.vhd │ │ │ │ ├── sobel_filter.xdc │ │ │ │ ├── sobel_filter_buff_A_M_0.vhd │ │ │ │ └── sobel_filter_buff_A_M_1.vhd │ │ ├── script.tcl │ │ ├── solution3.aps │ │ ├── solution3.directive │ │ ├── solution3.log │ │ └── syn │ │ │ ├── report │ │ │ ├── sobel_filter_csynth.rpt │ │ │ └── sobel_filter_csynth.xml │ │ │ ├── systemc │ │ │ ├── sobel_filter.cpp │ │ │ ├── sobel_filter.h │ │ │ ├── sobel_filter_buff_A_M_0.h │ │ │ └── sobel_filter_buff_A_M_1.h │ │ │ ├── verilog │ │ │ ├── sobel_filter.v │ │ │ ├── sobel_filter_buff_A_M_0.v │ │ │ └── sobel_filter_buff_A_M_1.v │ │ │ └── vhdl │ │ │ ├── sobel_filter.vhd │ │ │ ├── sobel_filter_buff_A_M_0.vhd │ │ │ └── sobel_filter_buff_A_M_1.vhd │ ├── test_1080p.bmp │ └── vivado_hls.app │ ├── sobel_test.cpp │ ├── test_1080p.bmp │ └── vivado_hls.log ├── Projects_2016.2 ├── 1_Logic_Design │ ├── 1_CN_Design │ │ ├── Adder_full │ │ │ ├── Ready_for_download │ │ │ │ └── B3_adder_full_4bits_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Adder_full.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS83_1.0.zip │ │ │ │ │ │ └── XUP_74LS83_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── binary_full_adder_4bits_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── binary_full_adder_4bits.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── binary_full_adder_4bits_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Add_full_Design.tcl │ │ │ │ │ └── adder_full_4bits.tcl │ │ │ └── readme.txt │ │ ├── Bin2BCD │ │ │ ├── Ready_for_download │ │ │ │ └── B3_bin_to_BCD_downloadr.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Bin2BCD.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS185_1.0.zip │ │ │ │ │ │ └── XUP_74LS185_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── bin2BCD_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── bin2BCD.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── bin2BCD_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Bin2BCD_Design.tcl │ │ │ │ │ └── bin_to_BCD.tcl │ │ │ └── readme.txt │ │ ├── Comparator │ │ │ ├── Ready_for_download │ │ │ │ └── B3_Comparator_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Comparator.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS85_1.0.zip │ │ │ │ │ │ └── XUP_74LS85_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── magnitude_comparator_4bits_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── magnitude_comparator_4bits.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── magnitude_comparator_4bits_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Comparator.tcl │ │ │ │ │ └── Comparator_Design.tcl │ │ │ └── readme.txt │ │ ├── Decoder │ │ │ ├── Ready_for_download │ │ │ │ └── B3_Decoder_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Decoder.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS05_1.0.zip │ │ │ │ │ │ ├── XUP_74LS05_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── inv_6bits.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── inv_6bits_v1_0.tcl │ │ │ │ │ │ ├── XUP_74LS138_1.0.zip │ │ │ │ │ │ └── XUP_74LS138_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── decode138.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── decode138_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Decoder.tcl │ │ │ │ │ └── Decoder_Design.tcl │ │ │ └── readme.txt │ │ ├── Gray_Code_converter │ │ │ ├── Ready_for_download │ │ │ │ └── B3_gray_code_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Gray_Code_converter.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── xup_74LS86_1.0.zip │ │ │ │ │ │ └── xup_74LS86_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── four_2_input_xor_gate.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── four_2_input_xor_gate_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Gray_Code_converter_Design.tcl │ │ │ │ │ └── gray_code.tcl │ │ │ └── readme.txt │ │ ├── MUX │ │ │ ├── Ready_for_download │ │ │ │ └── B3_mux_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── MUX.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── xup_74LS151_1.0.zip │ │ │ │ │ │ └── xup_74LS151_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── mux_8_to_1.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── mux_8_to_1_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── MUX_Design.tcl │ │ │ │ │ └── mux.tcl │ │ │ └── readme.txt │ │ ├── Priority_Encoder │ │ │ ├── Ready_for_download │ │ │ │ └── B3_Priority_Encoder_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Priority_Encoder.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── xup_74LS148_1.0.zip │ │ │ │ │ │ └── xup_74LS148_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── encoder_8_to_3.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── encoder_8_to_3_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Priority_Encoder.tcl │ │ │ │ │ └── Priority_Encoder_Design.tcl │ │ │ └── readme.txt │ │ └── Seg7decimal_Design │ │ │ ├── Ready_for_download │ │ │ └── B3_seg7decimal_download.bit │ │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── seg7decimal_design.xdc │ │ │ ├── HDL_source │ │ │ │ └── IP_Catalog │ │ │ │ │ ├── XUP_seg7decimal_1.0.zip │ │ │ │ │ └── XUP_seg7decimal_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal.v │ │ │ │ │ └── xgui │ │ │ │ │ └── seg7decimal_v1_0.tcl │ │ │ └── Tcl │ │ │ │ ├── Seg7decimal.tcl │ │ │ │ └── Seg7decimal_Design.tcl │ │ │ └── readme.txt │ ├── 2_SN_Design │ │ ├── Counter │ │ │ ├── Ready_for_download │ │ │ │ └── B3_Counter_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Counter.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── xup_74LS90_1.0.zip │ │ │ │ │ │ ├── xup_74LS90_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── decimal_counter.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── decimal_counter_v1_0.tcl │ │ │ │ │ │ ├── xup_clk_div_1.0.zip │ │ │ │ │ │ ├── xup_clk_div_1.0 │ │ │ │ │ │ ├── clk_div.v │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── clk_div_v1_0.tcl │ │ │ │ │ │ ├── xup_seg7decimal_1.0.zip │ │ │ │ │ │ └── xup_seg7decimal_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── seg7decimal.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── seg7decimal_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Counter.tcl │ │ │ │ │ └── Counter_Design.tcl │ │ │ └── readme.txt │ │ ├── Digilent_Abacus_Design │ │ │ ├── Ready_for_download │ │ │ │ └── Basys3_Abacus_Top_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Basys3_Master.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ ├── Adder_Subtractor.v │ │ │ │ │ ├── Basys3_Abacus_Top.v │ │ │ │ │ ├── Binary_to_BCD_B1_bcdout1.v │ │ │ │ │ ├── Binary_to_BCD_B2_bcdout2.v │ │ │ │ │ ├── Binary_to_BCD_B_bcdout.v │ │ │ │ │ ├── Display_QU.v │ │ │ │ │ ├── Display_REM.v │ │ │ │ │ ├── Divider.v │ │ │ │ │ ├── Seg_7_Display.v │ │ │ │ │ ├── Segment_Scroll.v │ │ │ │ │ ├── multi_4_4_pp0.v │ │ │ │ │ ├── multi_4_4_pp1.v │ │ │ │ │ ├── multi_4_4_pp2.v │ │ │ │ │ └── multi_4_4_pp3.v │ │ │ │ └── Tcl │ │ │ │ │ └── Digilent_Abacus_Design.tcl │ │ │ └── readme.txt │ │ ├── Digital_Clock │ │ │ ├── Ready_for_download │ │ │ │ └── B3_Digital_Clock_wrapper.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Digital_Clock.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS08_1.0.zip │ │ │ │ │ │ ├── XUP_74LS08_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── four_2_input_and_gate.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── four_2_input_and_gate_v1_0.tcl │ │ │ │ │ │ ├── xup_74LS90_1.0.zip │ │ │ │ │ │ ├── xup_74LS90_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── decimal_counter.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── decimal_counter_v1_0.tcl │ │ │ │ │ │ ├── xup_clk_div_1.0.zip │ │ │ │ │ │ ├── xup_clk_div_1.0 │ │ │ │ │ │ ├── clk_div.v │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── clk_div_v1_0.tcl │ │ │ │ │ │ ├── xup_seg7decimal_1.0.zip │ │ │ │ │ │ └── xup_seg7decimal_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── seg7decimal.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── seg7decimal_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Digital_Clock.tcl │ │ │ │ │ └── Digital_Clock_Design.tcl │ │ │ └── readme.txt │ │ ├── Frequency_Divider │ │ │ ├── Ready_for_download │ │ │ │ └── B3_frequency_divider_download.bit │ │ │ ├── Src │ │ │ │ ├── Constraint │ │ │ │ │ └── Frequency_Divider.xdc │ │ │ │ ├── HDL_source │ │ │ │ │ └── IP_Catalog │ │ │ │ │ │ ├── XUP_74LS74_1.0.zip │ │ │ │ │ │ ├── XUP_74LS74_1.0 │ │ │ │ │ │ ├── D_FlipFlop_Set_Reset.v │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── D_FlipFlop_Set_Reset_v1_0.tcl │ │ │ │ │ │ ├── xup_clk_div_1.0.zip │ │ │ │ │ │ └── xup_clk_div_1.0 │ │ │ │ │ │ ├── clk_div.v │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── clk_div_v1_0.tcl │ │ │ │ └── Tcl │ │ │ │ │ ├── Frequency_Divider_Design.tcl │ │ │ │ │ └── freq_divider.tcl │ │ │ └── readme.txt │ │ └── Shifter │ │ │ ├── Ready_for_download │ │ │ └── B3_shifter_download.bit │ │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── Shifter.xdc │ │ │ ├── HDL_source │ │ │ │ └── IP_Catalog │ │ │ │ │ ├── xup_74LS164_1.0.zip │ │ │ │ │ ├── xup_74LS164_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── shift_register_8bit.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── shift_register_8bit_v1_0.tcl │ │ │ │ │ ├── xup_clk_div_1.0.zip │ │ │ │ │ └── xup_clk_div_1.0 │ │ │ │ │ ├── clk_div.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ └── clk_div_v1_0.tcl │ │ │ └── Tcl │ │ │ │ ├── Shifter_Design.tcl │ │ │ │ └── shifter.tcl │ │ │ └── readme.txt │ └── 3_State_Machine │ │ ├── Single_Cycle_32bits_processor │ │ ├── MICS │ │ │ ├── 1.png │ │ │ ├── 2.png │ │ │ ├── 3.png │ │ │ ├── 4.png │ │ │ ├── 5.jpg │ │ │ ├── 6.jpg │ │ │ └── 7.jpg │ │ ├── README.md │ │ └── src │ │ │ ├── Constraint │ │ │ └── MIPS_CPU.xdc │ │ │ ├── IPCatalog │ │ │ ├── ALU │ │ │ │ └── ALU.srcs │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── ALU_TB.sv │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ ├── ALU.v │ │ │ │ │ │ ├── LOGIC.v │ │ │ │ │ │ ├── MATH.v │ │ │ │ │ │ └── SHIFT.v │ │ │ │ │ └── xgui │ │ │ │ │ └── ALU32_v1_0.tcl │ │ │ ├── CONTROL_UNIT │ │ │ │ └── CONTROL_UNIT.srcs │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── CONTROL_UNIT_TB.sv │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── CONTROL_UNIT.v │ │ │ │ │ └── xgui │ │ │ │ │ └── CONTROL_UNIT_v1_0.tcl │ │ │ ├── DATAPATH │ │ │ │ └── DATAPATH.srcs │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ ├── ADDSUB32.v │ │ │ │ │ ├── DATAPATH.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ └── DATAPATH_v1_0.tcl │ │ │ ├── DATA_MEM │ │ │ │ └── DATA_MEM.srcs │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ ├── DATA_MEM.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ └── DATA_MEM_v1_0.tcl │ │ │ ├── INST_MEM │ │ │ │ └── INST_MEM.srcs │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ ├── INST_MEM.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ └── INST_MEM_v1_0.tcl │ │ │ ├── KEY2INST │ │ │ │ └── KEY2INST.srcs │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── KEY2INST_TB.sv │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── KEY2INST.v │ │ │ │ │ └── xgui │ │ │ │ │ └── KEY2INST_v1_0.tcl │ │ │ ├── REGFILE │ │ │ │ └── REGFILE.srcs │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── REGFILE_TB.sv │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── REGFILE.v │ │ │ │ │ └── xgui │ │ │ │ │ └── REGFILE_v1_0.tcl │ │ │ └── SHOW_ON_LED │ │ │ │ └── SHOW_ON_LED.srcs │ │ │ │ └── sources_1 │ │ │ │ └── new │ │ │ │ ├── SHOW_ON_LED.v │ │ │ │ ├── component.xml │ │ │ │ └── xgui │ │ │ │ └── SHOW_ON_LED_v1_0.tcl │ │ │ ├── Sim │ │ │ └── MIPS_CPU_TB.sv │ │ │ ├── Tcl │ │ │ └── MIPS_CPU_Design.tcl │ │ │ └── prj │ │ │ ├── MIPS_CPU.cache │ │ │ └── wt │ │ │ │ ├── java_command_handlers.wdf │ │ │ │ ├── synthesis.wdf │ │ │ │ ├── synthesis_details.wdf │ │ │ │ └── webtalk_pa.xml │ │ │ ├── MIPS_CPU.runs │ │ │ ├── .jobs │ │ │ │ ├── vrs_config_1.xml │ │ │ │ └── vrs_config_2.xml │ │ │ ├── impl_1 │ │ │ │ ├── .Vivado_Implementation.queue.rst │ │ │ │ ├── .init_design.begin.rst │ │ │ │ ├── .init_design.end.rst │ │ │ │ ├── .opt_design.begin.rst │ │ │ │ ├── .opt_design.end.rst │ │ │ │ ├── .place_design.begin.rst │ │ │ │ ├── .place_design.end.rst │ │ │ │ ├── .route_design.begin.rst │ │ │ │ ├── .route_design.end.rst │ │ │ │ ├── .vivado.begin.rst │ │ │ │ ├── .vivado.end.rst │ │ │ │ ├── .write_bitstream.begin.rst │ │ │ │ ├── .write_bitstream.end.rst │ │ │ │ ├── ISEWrap.js │ │ │ │ ├── ISEWrap.sh │ │ │ │ ├── MIPS_CPU_wrapper.bit │ │ │ │ ├── MIPS_CPU_wrapper.sysdef │ │ │ │ ├── MIPS_CPU_wrapper.tcl │ │ │ │ ├── MIPS_CPU_wrapper.vdi │ │ │ │ ├── MIPS_CPU_wrapper_clock_utilization_placed.rpt │ │ │ │ ├── MIPS_CPU_wrapper_control_sets_placed.rpt │ │ │ │ ├── MIPS_CPU_wrapper_drc_opted.rpt │ │ │ │ ├── MIPS_CPU_wrapper_drc_routed.pb │ │ │ │ ├── MIPS_CPU_wrapper_drc_routed.rpt │ │ │ │ ├── MIPS_CPU_wrapper_io_placed.rpt │ │ │ │ ├── MIPS_CPU_wrapper_opt.dcp │ │ │ │ ├── MIPS_CPU_wrapper_placed.dcp │ │ │ │ ├── MIPS_CPU_wrapper_power_routed.rpt │ │ │ │ ├── MIPS_CPU_wrapper_power_summary_routed.pb │ │ │ │ ├── MIPS_CPU_wrapper_route_status.pb │ │ │ │ ├── MIPS_CPU_wrapper_route_status.rpt │ │ │ │ ├── MIPS_CPU_wrapper_routed.dcp │ │ │ │ ├── MIPS_CPU_wrapper_timing_summary_routed.rpt │ │ │ │ ├── MIPS_CPU_wrapper_timing_summary_routed.rpx │ │ │ │ ├── MIPS_CPU_wrapper_utilization_placed.pb │ │ │ │ ├── MIPS_CPU_wrapper_utilization_placed.rpt │ │ │ │ ├── gen_run.xml │ │ │ │ ├── htr.txt │ │ │ │ ├── init_design.pb │ │ │ │ ├── opt_design.pb │ │ │ │ ├── place_design.pb │ │ │ │ ├── project.wdf │ │ │ │ ├── route_design.pb │ │ │ │ ├── rundef.js │ │ │ │ ├── runme.bat │ │ │ │ ├── runme.log │ │ │ │ ├── runme.sh │ │ │ │ ├── usage_statistics_webtalk.html │ │ │ │ ├── usage_statistics_webtalk.xml │ │ │ │ ├── vivado.jou │ │ │ │ ├── vivado.pb │ │ │ │ └── write_bitstream.pb │ │ │ └── synth_1 │ │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ │ ├── .Xil │ │ │ │ └── MIPS_CPU_wrapper_propImpl.xdc │ │ │ │ ├── .vivado.begin.rst │ │ │ │ ├── .vivado.end.rst │ │ │ │ ├── ISEWrap.js │ │ │ │ ├── ISEWrap.sh │ │ │ │ ├── MIPS_CPU_wrapper.dcp │ │ │ │ ├── MIPS_CPU_wrapper.hwdef │ │ │ │ ├── MIPS_CPU_wrapper.tcl │ │ │ │ ├── MIPS_CPU_wrapper.vds │ │ │ │ ├── MIPS_CPU_wrapper_utilization_synth.pb │ │ │ │ ├── MIPS_CPU_wrapper_utilization_synth.rpt │ │ │ │ ├── dont_touch.xdc │ │ │ │ ├── gen_run.xml │ │ │ │ ├── htr.txt │ │ │ │ ├── project.wdf │ │ │ │ ├── rundef.js │ │ │ │ ├── runme.bat │ │ │ │ ├── runme.log │ │ │ │ ├── runme.sh │ │ │ │ ├── vivado.jou │ │ │ │ └── vivado.pb │ │ │ ├── MIPS_CPU.srcs │ │ │ ├── constrs_1 │ │ │ │ └── imports │ │ │ │ │ └── Constraint │ │ │ │ │ └── MIPS_CPU.xdc │ │ │ └── sources_1 │ │ │ │ ├── bd │ │ │ │ └── MIPS_CPU │ │ │ │ │ ├── MIPS_CPU.bd │ │ │ │ │ ├── MIPS_CPU.bxml │ │ │ │ │ ├── MIPS_CPU_ooc.xdc │ │ │ │ │ ├── hdl │ │ │ │ │ ├── MIPS_CPU.v │ │ │ │ │ └── MIPS_CPU_wrapper.v │ │ │ │ │ ├── hw_handoff │ │ │ │ │ ├── MIPS_CPU.hwh │ │ │ │ │ └── MIPS_CPU_bd.tcl │ │ │ │ │ ├── ip │ │ │ │ │ ├── MIPS_CPU_ALU32_0_0 │ │ │ │ │ │ ├── MIPS_CPU_ALU32_0_0.xci │ │ │ │ │ │ ├── MIPS_CPU_ALU32_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── MIPS_CPU_ALU32_0_0.v │ │ │ │ │ ├── MIPS_CPU_CONTROL_UNIT_0_0 │ │ │ │ │ │ ├── MIPS_CPU_CONTROL_UNIT_0_0.xci │ │ │ │ │ │ ├── MIPS_CPU_CONTROL_UNIT_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── MIPS_CPU_CONTROL_UNIT_0_0.v │ │ │ │ │ ├── MIPS_CPU_DATAPATH_0_0 │ │ │ │ │ │ ├── MIPS_CPU_DATAPATH_0_0.xci │ │ │ │ │ │ ├── MIPS_CPU_DATAPATH_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── MIPS_CPU_DATAPATH_0_0.v │ │ │ │ │ ├── MIPS_CPU_DATA_MEM_0_0 │ │ │ │ │ │ ├── MIPS_CPU_DATA_MEM_0_0.xci │ │ │ │ │ │ ├── MIPS_CPU_DATA_MEM_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── MIPS_CPU_DATA_MEM_0_0.v │ │ │ │ │ ├── MIPS_CPU_KEY2INST_0_0 │ │ │ │ │ │ ├── MIPS_CPU_KEY2INST_0_0.xci │ │ │ │ │ │ ├── MIPS_CPU_KEY2INST_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── MIPS_CPU_KEY2INST_0_0.v │ │ │ │ │ ├── MIPS_CPU_REGFILE_0_0 │ │ │ │ │ │ ├── MIPS_CPU_REGFILE_0_0.xci │ │ │ │ │ │ ├── MIPS_CPU_REGFILE_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── MIPS_CPU_REGFILE_0_0.v │ │ │ │ │ ├── MIPS_CPU_SHOW_ON_LED_0_0 │ │ │ │ │ │ ├── MIPS_CPU_SHOW_ON_LED_0_0.xci │ │ │ │ │ │ ├── MIPS_CPU_SHOW_ON_LED_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── MIPS_CPU_SHOW_ON_LED_0_0.v │ │ │ │ │ └── MIPS_CPU_clk_wiz_0_0 │ │ │ │ │ │ ├── MIPS_CPU_clk_wiz_0_0.v │ │ │ │ │ │ ├── MIPS_CPU_clk_wiz_0_0.xci │ │ │ │ │ │ ├── MIPS_CPU_clk_wiz_0_0.xdc │ │ │ │ │ │ ├── MIPS_CPU_clk_wiz_0_0.xml │ │ │ │ │ │ ├── MIPS_CPU_clk_wiz_0_0_board.xdc │ │ │ │ │ │ ├── MIPS_CPU_clk_wiz_0_0_clk_wiz.v │ │ │ │ │ │ └── MIPS_CPU_clk_wiz_0_0_ooc.xdc │ │ │ │ │ └── ui │ │ │ │ │ └── bd_a462dba8.ui │ │ │ │ ├── imports │ │ │ │ └── hdl │ │ │ │ │ └── MIPS_CPU_wrapper.v │ │ │ │ └── ipshared │ │ │ │ └── dtysky │ │ │ │ ├── ALU32_v1_0 │ │ │ │ └── 074d7300 │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ ├── ALU.v │ │ │ │ │ ├── LOGIC.v │ │ │ │ │ ├── MATH.v │ │ │ │ │ └── SHIFT.v │ │ │ │ ├── CONTROL_UNIT_v1_0 │ │ │ │ └── ff97bbaf │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ └── CONTROL_UNIT.v │ │ │ │ ├── DATAPATH_v1_2 │ │ │ │ └── 37ca0665 │ │ │ │ │ └── DATAPATH.v │ │ │ │ ├── DATA_MEM_v1_0 │ │ │ │ └── fc23e26c │ │ │ │ │ └── DATA_MEM.v │ │ │ │ ├── KEY2INST_v1_0 │ │ │ │ └── 95ad72f6 │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ └── KEY2INST.v │ │ │ │ ├── REGFILE_v1_0 │ │ │ │ └── 98f17ae9 │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ └── REGFILE.v │ │ │ │ └── SHOW_ON_LED_v1_0 │ │ │ │ └── e457e91a │ │ │ │ └── SHOW_ON_LED.v │ │ │ └── MIPS_CPU.xpr │ │ ├── Traffic_Light │ │ ├── Ready_for_download │ │ │ └── B3_TrafficLight_download.bit │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── TrafficLight.xdc │ │ │ ├── HDL_source │ │ │ │ └── IP_Catalog │ │ │ │ │ ├── XUP_bin2bcd_8bits_1.0.zip │ │ │ │ │ ├── XUP_bin2bcd_8bits_1.0 │ │ │ │ │ ├── bin2bcd_8bits.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ │ └── bin2bcd_8bits_v1_0.tcl │ │ │ │ │ ├── XUP_trafficLights_controller_1.0.zip │ │ │ │ │ ├── XUP_trafficLights_controller_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── trafficlights_controller.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── trafficLights_controller_v1_0.tcl │ │ │ │ │ ├── xup_seg7decimal_1.0.zip │ │ │ │ │ └── xup_seg7decimal_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal.v │ │ │ │ │ └── xgui │ │ │ │ │ └── seg7decimal_v1_0.tcl │ │ │ └── Tcl │ │ │ │ ├── TrafficLight.tcl │ │ │ │ └── TrafficLight_Design.tcl │ │ └── readme.txt │ │ └── taxi │ │ ├── Ready_for_download │ │ └── taxi.bit │ │ └── src │ │ ├── Constraint │ │ └── taxi.xdc │ │ ├── HDL_source │ │ ├── bcd.v │ │ ├── seg_display.v │ │ ├── taxi.v │ │ └── top.v │ │ └── tcl │ │ └── taxi.tcl ├── 2_Control_and_Measurement │ ├── Ball_Tracking │ │ ├── Ready_for_download │ │ │ └── B3_BallTrack_download.bit │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── B3_Balltrack.xdc │ │ │ ├── HDL_source │ │ │ │ └── IP_Catalog │ │ │ │ │ ├── XUP_ColorDetect_V1.0.zip │ │ │ │ │ ├── XUP_ColorDetect_V1.0 │ │ │ │ │ └── XUP_ColorDetect_V1.0 │ │ │ │ │ │ ├── BW.v │ │ │ │ │ │ ├── center.v │ │ │ │ │ │ ├── colorDetect.v │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── new │ │ │ │ │ │ └── weight_cal.v │ │ │ │ │ │ ├── render.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── colorDetect_v1_0.tcl │ │ │ │ │ ├── XUP_Dilate_V1.0.zip │ │ │ │ │ ├── XUP_Dilate_V1.0 │ │ │ │ │ └── XUP_Dilate_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── dilate.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── dilate_v1_0.tcl │ │ │ │ │ ├── XUP_Erode_V1.0.zip │ │ │ │ │ ├── XUP_Erode_V1.0 │ │ │ │ │ └── XUP_Erode_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── erode.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── erode_v1_0.tcl │ │ │ │ │ ├── XUP_IICctrl_1.0.zip │ │ │ │ │ ├── XUP_IICctrl_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── iic_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── imports │ │ │ │ │ │ │ └── src │ │ │ │ │ │ │ ├── I2C_Controller.v │ │ │ │ │ │ │ └── IICctrl.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── IICctrl_v1_0.tcl │ │ │ │ │ ├── XUP_PWM_gen_1.0.zip │ │ │ │ │ ├── XUP_PWM_gen_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── pwm_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── PWM_gen.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── PWM_gen_v1_0.tcl │ │ │ │ │ ├── XUP_RGB16_24_V1.0.zip │ │ │ │ │ ├── XUP_RGB16_24_V1.0 │ │ │ │ │ └── XUP_RGB16_24_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── rgb565_rgb888.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── rgb565_rgb888_v1_0.tcl │ │ │ │ │ ├── XUP_RGB24_16_V1.0.zip │ │ │ │ │ ├── XUP_RGB24_16_V1.0 │ │ │ │ │ └── XUP_RGB24_16_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── rgb888_rgb565.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── rgb888_rgb565_v1_0.tcl │ │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0.zip │ │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0 │ │ │ │ │ ├── cam_ov7670_ov7725.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ │ └── cam_ov7670_ov7725_v1_0.tcl │ │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ │ ├── XUP_move_en_1.0.zip │ │ │ │ │ ├── XUP_move_en_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── move_en.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── move_en_v1_0.tcl │ │ │ │ │ ├── XUP_ov7725_regData_1.0.zip │ │ │ │ │ ├── XUP_ov7725_regData_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── ov7725_regData.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── ov7725_regData_v1_0.tcl │ │ │ │ │ ├── XUP_ram_read_1.0.zip │ │ │ │ │ ├── XUP_ram_read_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── ram_read.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── ram_read_v1_0.tcl │ │ │ │ │ ├── XUP_region_cut_1.0.zip │ │ │ │ │ ├── XUP_region_cut_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── region_cut.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── region_cut_v1_0.tcl │ │ │ │ │ ├── XUP_servo_ctrl_1.0.zip │ │ │ │ │ ├── XUP_servo_ctrl_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── imports │ │ │ │ │ │ └── servo_ip │ │ │ │ │ │ │ ├── pwm_gen_x.v │ │ │ │ │ │ │ └── pwm_gen_y.v │ │ │ │ │ ├── new │ │ │ │ │ │ └── servo_ctrl.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── servo_ctrl_v1_0.tcl │ │ │ │ │ ├── XUP_vga_1.0.zip │ │ │ │ │ ├── XUP_vga_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── vga.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── vga_v1_0.tcl │ │ │ │ │ ├── XUP_xadc_1.0.zip │ │ │ │ │ ├── XUP_xadc_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── ip │ │ │ │ │ │ └── xadc_wiz_0 │ │ │ │ │ │ │ └── xadc_wiz_0.xci │ │ │ │ │ ├── new │ │ │ │ │ │ └── xadc.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── xadc_v1_0.tcl │ │ │ │ │ ├── xup_rgb2hsv_top_1.0.zip │ │ │ │ │ └── xup_rgb2hsv_top_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── rgb2hsv_top.v │ │ │ │ │ └── xgui │ │ │ │ │ └── rgb2hsv_top_v1_0.tcl │ │ │ └── Tcl │ │ │ │ ├── Basys3_BallTrack.tcl │ │ │ │ └── design_1.tcl │ │ └── readme.txt │ ├── Oscilloscope │ │ ├── Ready_for_download │ │ │ └── B3_OSC_download.bit │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── oscilloscope.xdc │ │ │ ├── HDL_source │ │ │ │ ├── Fre_Calculate.v │ │ │ │ ├── Fre_Vopp_mapping_rom.v │ │ │ │ ├── IP_Catalog │ │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ │ └── new │ │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ │ └── new │ │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ │ ├── XUP_vga_1.0.zip │ │ │ │ │ ├── XUP_vga_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── vga.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ │ └── vga_v1_0.tcl │ │ │ │ │ ├── XUP_xadc_1.0.zip │ │ │ │ │ └── XUP_xadc_1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── ip │ │ │ │ │ │ └── xadc_wiz_0 │ │ │ │ │ │ │ └── xadc_wiz_0.xci │ │ │ │ │ │ ├── new │ │ │ │ │ │ └── xadc.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── xadc_v1_0.tcl │ │ │ │ ├── OSC_top.v │ │ │ │ ├── char_rom_mapping.v │ │ │ │ ├── clock │ │ │ │ │ └── clock.xci │ │ │ │ ├── clock_control.v │ │ │ │ ├── debounce_0 │ │ │ │ │ ├── debounce_0.dcp │ │ │ │ │ ├── debounce_0.veo │ │ │ │ │ ├── debounce_0.xci │ │ │ │ │ ├── debounce_0.xml │ │ │ │ │ ├── debounce_0_funcsim.v │ │ │ │ │ ├── debounce_0_funcsim.vhdl │ │ │ │ │ ├── debounce_0_stub.v │ │ │ │ │ ├── debounce_0_stub.vhdl │ │ │ │ │ ├── sim │ │ │ │ │ │ └── debounce_0.v │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ └── synth │ │ │ │ │ │ └── debounce_0.v │ │ │ │ ├── trigger.v │ │ │ │ ├── vga_0 │ │ │ │ │ ├── sim │ │ │ │ │ │ └── vga_0.v │ │ │ │ │ ├── synth │ │ │ │ │ │ └── vga_0.v │ │ │ │ │ ├── vga.v │ │ │ │ │ ├── vga_0.dcp │ │ │ │ │ ├── vga_0.veo │ │ │ │ │ ├── vga_0.xci │ │ │ │ │ ├── vga_0.xml │ │ │ │ │ ├── vga_0_funcsim.v │ │ │ │ │ ├── vga_0_funcsim.vhdl │ │ │ │ │ ├── vga_0_stub.v │ │ │ │ │ └── vga_0_stub.vhdl │ │ │ │ ├── vga_initials.v │ │ │ │ ├── waveform_mapping_rom.v │ │ │ │ ├── waveform_ram.v │ │ │ │ └── xadc_0 │ │ │ │ │ ├── ip │ │ │ │ │ └── xadc_wiz_0 │ │ │ │ │ │ ├── xadc_wiz_0.v │ │ │ │ │ │ ├── xadc_wiz_0.xci │ │ │ │ │ │ ├── xadc_wiz_0.xdc │ │ │ │ │ │ ├── xadc_wiz_0.xml │ │ │ │ │ │ ├── xadc_wiz_0 │ │ │ │ │ │ └── simulation │ │ │ │ │ │ │ ├── functional │ │ │ │ │ │ │ └── design.txt │ │ │ │ │ │ │ └── timing │ │ │ │ │ │ │ └── design.txt │ │ │ │ │ │ └── xadc_wiz_0_ooc.xdc │ │ │ │ │ ├── new │ │ │ │ │ └── xadc.v │ │ │ │ │ ├── sim │ │ │ │ │ └── xadc_0.v │ │ │ │ │ ├── synth │ │ │ │ │ └── xadc_0.v │ │ │ │ │ ├── xadc_0.dcp │ │ │ │ │ ├── xadc_0.veo │ │ │ │ │ ├── xadc_0.xci │ │ │ │ │ ├── xadc_0.xml │ │ │ │ │ ├── xadc_0_funcsim.v │ │ │ │ │ ├── xadc_0_funcsim.vhdl │ │ │ │ │ ├── xadc_0_stub.v │ │ │ │ │ └── xadc_0_stub.vhdl │ │ │ ├── Tcl │ │ │ │ ├── Oscilloscope.tcl │ │ │ │ └── design_1.tcl │ │ │ └── prj │ │ │ │ ├── Oscilloscope.cache │ │ │ │ └── wt │ │ │ │ │ ├── java_command_handlers.wdf │ │ │ │ │ ├── project.wpc │ │ │ │ │ ├── synthesis.wdf │ │ │ │ │ ├── synthesis_details.wdf │ │ │ │ │ └── webtalk_pa.xml │ │ │ │ ├── Oscilloscope.hw │ │ │ │ └── Oscilloscope.lpr │ │ │ │ ├── Oscilloscope.ip_user_files │ │ │ │ ├── README.txt │ │ │ │ ├── ip │ │ │ │ │ └── clock │ │ │ │ │ │ ├── clock.veo │ │ │ │ │ │ ├── clock_stub.v │ │ │ │ │ │ └── clock_stub.vhdl │ │ │ │ ├── ipstatic │ │ │ │ │ └── clk_wiz_v5_3_1 │ │ │ │ │ │ ├── mmcm_pll_drp_func_7s_mmcm.vh │ │ │ │ │ │ ├── mmcm_pll_drp_func_7s_pll.vh │ │ │ │ │ │ ├── mmcm_pll_drp_func_us_mmcm.vh │ │ │ │ │ │ └── mmcm_pll_drp_func_us_pll.vh │ │ │ │ └── sim_scripts │ │ │ │ │ └── clock │ │ │ │ │ ├── README.txt │ │ │ │ │ ├── activehdl │ │ │ │ │ ├── README.txt │ │ │ │ │ ├── clock.sh │ │ │ │ │ ├── clock.udo │ │ │ │ │ ├── compile.do │ │ │ │ │ ├── file_info.txt │ │ │ │ │ ├── glbl.v │ │ │ │ │ ├── simulate.do │ │ │ │ │ └── wave.do │ │ │ │ │ ├── ies │ │ │ │ │ ├── README.txt │ │ │ │ │ ├── clock.sh │ │ │ │ │ ├── file_info.txt │ │ │ │ │ ├── glbl.v │ │ │ │ │ └── run.f │ │ │ │ │ ├── modelsim │ │ │ │ │ ├── README.txt │ │ │ │ │ ├── clock.sh │ │ │ │ │ ├── clock.udo │ │ │ │ │ ├── compile.do │ │ │ │ │ ├── file_info.txt │ │ │ │ │ ├── glbl.v │ │ │ │ │ ├── simulate.do │ │ │ │ │ └── wave.do │ │ │ │ │ ├── questa │ │ │ │ │ ├── README.txt │ │ │ │ │ ├── clock.sh │ │ │ │ │ ├── clock.udo │ │ │ │ │ ├── compile.do │ │ │ │ │ ├── elaborate.do │ │ │ │ │ ├── file_info.txt │ │ │ │ │ ├── glbl.v │ │ │ │ │ ├── simulate.do │ │ │ │ │ └── wave.do │ │ │ │ │ ├── riviera │ │ │ │ │ ├── README.txt │ │ │ │ │ ├── clock.sh │ │ │ │ │ ├── clock.udo │ │ │ │ │ ├── compile.do │ │ │ │ │ ├── file_info.txt │ │ │ │ │ ├── glbl.v │ │ │ │ │ ├── simulate.do │ │ │ │ │ └── wave.do │ │ │ │ │ ├── vcs │ │ │ │ │ ├── README.txt │ │ │ │ │ ├── clock.sh │ │ │ │ │ ├── file_info.txt │ │ │ │ │ ├── glbl.v │ │ │ │ │ └── simulate.do │ │ │ │ │ └── xsim │ │ │ │ │ ├── README.txt │ │ │ │ │ ├── clock.sh │ │ │ │ │ ├── cmd.tcl │ │ │ │ │ ├── elab.opt │ │ │ │ │ ├── file_info.txt │ │ │ │ │ ├── glbl.v │ │ │ │ │ ├── vhdl.prj │ │ │ │ │ ├── vlog.prj │ │ │ │ │ └── xsim.ini │ │ │ │ ├── Oscilloscope.runs │ │ │ │ ├── .jobs │ │ │ │ │ ├── vrs_config_1.xml │ │ │ │ │ ├── vrs_config_2.xml │ │ │ │ │ ├── vrs_config_3.xml │ │ │ │ │ └── vrs_config_4.xml │ │ │ │ ├── clock_synth_1 │ │ │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ │ │ ├── .Xil │ │ │ │ │ │ └── clock_propImpl.xdc │ │ │ │ │ ├── .vivado.begin.rst │ │ │ │ │ ├── .vivado.end.rst │ │ │ │ │ ├── ISEWrap.js │ │ │ │ │ ├── ISEWrap.sh │ │ │ │ │ ├── clock.dcp │ │ │ │ │ ├── clock.tcl │ │ │ │ │ ├── clock.vds │ │ │ │ │ ├── clock_utilization_synth.pb │ │ │ │ │ ├── clock_utilization_synth.rpt │ │ │ │ │ ├── dont_touch.xdc │ │ │ │ │ ├── gen_run.xml │ │ │ │ │ ├── htr.txt │ │ │ │ │ ├── project.wdf │ │ │ │ │ ├── rundef.js │ │ │ │ │ ├── runme.bat │ │ │ │ │ ├── runme.log │ │ │ │ │ ├── runme.sh │ │ │ │ │ ├── vivado.jou │ │ │ │ │ └── vivado.pb │ │ │ │ ├── debounce_0_synth_1 │ │ │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ │ │ ├── .vivado.begin.rst │ │ │ │ │ ├── .vivado.end.rst │ │ │ │ │ ├── ISEWrap.js │ │ │ │ │ ├── ISEWrap.sh │ │ │ │ │ ├── debounce_0.dcp │ │ │ │ │ ├── debounce_0.tcl │ │ │ │ │ ├── debounce_0.vds │ │ │ │ │ ├── debounce_0_utilization_synth.pb │ │ │ │ │ ├── debounce_0_utilization_synth.rpt │ │ │ │ │ ├── gen_run.xml │ │ │ │ │ ├── htr.txt │ │ │ │ │ ├── rundef.js │ │ │ │ │ ├── runme.bat │ │ │ │ │ ├── runme.log │ │ │ │ │ ├── runme.sh │ │ │ │ │ ├── vivado.jou │ │ │ │ │ └── vivado.pb │ │ │ │ ├── impl_1 │ │ │ │ │ ├── .Vivado_Implementation.queue.rst │ │ │ │ │ ├── .Xil │ │ │ │ │ │ └── Vivado-4012-XSHZHEHENGT30 │ │ │ │ │ │ │ └── .lpr │ │ │ │ │ ├── .init_design.begin.rst │ │ │ │ │ ├── .init_design.end.rst │ │ │ │ │ ├── .opt_design.begin.rst │ │ │ │ │ ├── .opt_design.end.rst │ │ │ │ │ ├── .place_design.begin.rst │ │ │ │ │ ├── .place_design.end.rst │ │ │ │ │ ├── .route_design.begin.rst │ │ │ │ │ ├── .route_design.end.rst │ │ │ │ │ ├── .vivado.begin.rst │ │ │ │ │ ├── .vivado.end.rst │ │ │ │ │ ├── .write_bitstream.begin.rst │ │ │ │ │ ├── .write_bitstream.end.rst │ │ │ │ │ ├── ISEWrap.js │ │ │ │ │ ├── ISEWrap.sh │ │ │ │ │ ├── OSC_top.bit │ │ │ │ │ ├── OSC_top.tcl │ │ │ │ │ ├── OSC_top.vdi │ │ │ │ │ ├── OSC_top_4012.backup.vdi │ │ │ │ │ ├── OSC_top_clock_utilization_routed.rpt │ │ │ │ │ ├── OSC_top_control_sets_placed.rpt │ │ │ │ │ ├── OSC_top_drc_opted.rpt │ │ │ │ │ ├── OSC_top_drc_routed.pb │ │ │ │ │ ├── OSC_top_drc_routed.rpt │ │ │ │ │ ├── OSC_top_io_placed.rpt │ │ │ │ │ ├── OSC_top_opt.dcp │ │ │ │ │ ├── OSC_top_placed.dcp │ │ │ │ │ ├── OSC_top_power_routed.rpt │ │ │ │ │ ├── OSC_top_power_routed.rpx │ │ │ │ │ ├── OSC_top_power_summary_routed.pb │ │ │ │ │ ├── OSC_top_route_status.pb │ │ │ │ │ ├── OSC_top_route_status.rpt │ │ │ │ │ ├── OSC_top_routed.dcp │ │ │ │ │ ├── OSC_top_timing_summary_routed.rpt │ │ │ │ │ ├── OSC_top_timing_summary_routed.rpx │ │ │ │ │ ├── OSC_top_utilization_placed.pb │ │ │ │ │ ├── OSC_top_utilization_placed.rpt │ │ │ │ │ ├── gen_run.xml │ │ │ │ │ ├── htr.txt │ │ │ │ │ ├── init_design.pb │ │ │ │ │ ├── opt_design.pb │ │ │ │ │ ├── place_design.pb │ │ │ │ │ ├── project.wdf │ │ │ │ │ ├── route_design.pb │ │ │ │ │ ├── rundef.js │ │ │ │ │ ├── runme.bat │ │ │ │ │ ├── runme.log │ │ │ │ │ ├── runme.sh │ │ │ │ │ ├── vivado.jou │ │ │ │ │ ├── vivado.pb │ │ │ │ │ ├── vivado_4012.backup.jou │ │ │ │ │ └── write_bitstream.pb │ │ │ │ ├── synth_1 │ │ │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ │ │ ├── .Xil │ │ │ │ │ │ └── OSC_top_propImpl.xdc │ │ │ │ │ ├── .vivado.begin.rst │ │ │ │ │ ├── .vivado.end.rst │ │ │ │ │ ├── ISEWrap.js │ │ │ │ │ ├── ISEWrap.sh │ │ │ │ │ ├── OSC_top.dcp │ │ │ │ │ ├── OSC_top.tcl │ │ │ │ │ ├── OSC_top.vds │ │ │ │ │ ├── OSC_top_utilization_synth.pb │ │ │ │ │ ├── OSC_top_utilization_synth.rpt │ │ │ │ │ ├── gen_run.xml │ │ │ │ │ ├── htr.txt │ │ │ │ │ ├── rundef.js │ │ │ │ │ ├── runme.bat │ │ │ │ │ ├── runme.log │ │ │ │ │ ├── runme.sh │ │ │ │ │ ├── vivado.jou │ │ │ │ │ └── vivado.pb │ │ │ │ ├── vga_0_synth_1 │ │ │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ │ │ ├── .vivado.begin.rst │ │ │ │ │ ├── .vivado.end.rst │ │ │ │ │ ├── ISEWrap.js │ │ │ │ │ ├── ISEWrap.sh │ │ │ │ │ ├── gen_run.xml │ │ │ │ │ ├── htr.txt │ │ │ │ │ ├── rundef.js │ │ │ │ │ ├── runme.bat │ │ │ │ │ ├── runme.log │ │ │ │ │ ├── runme.sh │ │ │ │ │ ├── vga_0.dcp │ │ │ │ │ ├── vga_0.tcl │ │ │ │ │ ├── vga_0.vds │ │ │ │ │ ├── vga_0_utilization_synth.pb │ │ │ │ │ ├── vga_0_utilization_synth.rpt │ │ │ │ │ ├── vivado.jou │ │ │ │ │ └── vivado.pb │ │ │ │ └── xadc_0_synth_1 │ │ │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ │ │ ├── .Xil │ │ │ │ │ └── xadc_0_propImpl.xdc │ │ │ │ │ ├── .vivado.begin.rst │ │ │ │ │ ├── .vivado.end.rst │ │ │ │ │ ├── ISEWrap.js │ │ │ │ │ ├── ISEWrap.sh │ │ │ │ │ ├── dont_touch.xdc │ │ │ │ │ ├── fsm_encoding.os │ │ │ │ │ ├── gen_run.xml │ │ │ │ │ ├── htr.txt │ │ │ │ │ ├── rundef.js │ │ │ │ │ ├── runme.bat │ │ │ │ │ ├── runme.log │ │ │ │ │ ├── runme.sh │ │ │ │ │ ├── vivado.jou │ │ │ │ │ ├── vivado.pb │ │ │ │ │ ├── xadc_0.dcp │ │ │ │ │ ├── xadc_0.tcl │ │ │ │ │ ├── xadc_0.vds │ │ │ │ │ ├── xadc_0_utilization_synth.pb │ │ │ │ │ └── xadc_0_utilization_synth.rpt │ │ │ │ ├── Oscilloscope.srcs │ │ │ │ ├── constrs_1 │ │ │ │ │ └── imports │ │ │ │ │ │ └── Constraint │ │ │ │ │ │ └── oscilloscope.xdc │ │ │ │ └── sources_1 │ │ │ │ │ ├── imports │ │ │ │ │ └── HDL_source │ │ │ │ │ │ ├── Fre_Calculate.v │ │ │ │ │ │ ├── Fre_Vopp_mapping_rom.v │ │ │ │ │ │ ├── OSC_top.v │ │ │ │ │ │ ├── char_rom_mapping.v │ │ │ │ │ │ ├── clock_control.v │ │ │ │ │ │ ├── trigger.v │ │ │ │ │ │ ├── vga_initials.v │ │ │ │ │ │ ├── waveform_mapping_rom.v │ │ │ │ │ │ └── waveform_ram.v │ │ │ │ │ └── ip │ │ │ │ │ ├── clock │ │ │ │ │ ├── clk_wiz_v5_3_1 │ │ │ │ │ │ ├── mmcm_pll_drp_func_7s_mmcm.vh │ │ │ │ │ │ ├── mmcm_pll_drp_func_7s_pll.vh │ │ │ │ │ │ ├── mmcm_pll_drp_func_us_mmcm.vh │ │ │ │ │ │ └── mmcm_pll_drp_func_us_pll.vh │ │ │ │ │ ├── clock.dcp │ │ │ │ │ ├── clock.v │ │ │ │ │ ├── clock.veo │ │ │ │ │ ├── clock.xci │ │ │ │ │ ├── clock.xdc │ │ │ │ │ ├── clock.xml │ │ │ │ │ ├── clock_board.xdc │ │ │ │ │ ├── clock_clk_wiz.v │ │ │ │ │ ├── clock_ooc.xdc │ │ │ │ │ ├── clock_sim_netlist.v │ │ │ │ │ ├── clock_sim_netlist.vhdl │ │ │ │ │ ├── clock_stub.v │ │ │ │ │ ├── clock_stub.vhdl │ │ │ │ │ └── doc │ │ │ │ │ │ └── clk_wiz_v5_3_changelog.txt │ │ │ │ │ ├── debounce_0 │ │ │ │ │ ├── debounce_0.dcp │ │ │ │ │ ├── debounce_0.veo │ │ │ │ │ ├── debounce_0.xci │ │ │ │ │ ├── debounce_0.xml │ │ │ │ │ ├── debounce_0_funcsim.v │ │ │ │ │ ├── debounce_0_funcsim.vhdl │ │ │ │ │ ├── debounce_0_sim_netlist.v │ │ │ │ │ ├── debounce_0_sim_netlist.vhdl │ │ │ │ │ ├── debounce_0_stub.v │ │ │ │ │ ├── debounce_0_stub.vhdl │ │ │ │ │ ├── sim │ │ │ │ │ │ └── debounce_0.v │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ └── synth │ │ │ │ │ │ └── debounce_0.v │ │ │ │ │ ├── vga_0 │ │ │ │ │ ├── sim │ │ │ │ │ │ └── vga_0.v │ │ │ │ │ ├── synth │ │ │ │ │ │ └── vga_0.v │ │ │ │ │ ├── vga.v │ │ │ │ │ ├── vga_0.dcp │ │ │ │ │ ├── vga_0.veo │ │ │ │ │ ├── vga_0.xci │ │ │ │ │ ├── vga_0.xml │ │ │ │ │ ├── vga_0_funcsim.v │ │ │ │ │ ├── vga_0_funcsim.vhdl │ │ │ │ │ ├── vga_0_sim_netlist.v │ │ │ │ │ ├── vga_0_sim_netlist.vhdl │ │ │ │ │ ├── vga_0_stub.v │ │ │ │ │ └── vga_0_stub.vhdl │ │ │ │ │ └── xadc_0 │ │ │ │ │ ├── ip │ │ │ │ │ └── xadc_wiz_0 │ │ │ │ │ │ ├── xadc_wiz_0.v │ │ │ │ │ │ ├── xadc_wiz_0.xci │ │ │ │ │ │ ├── xadc_wiz_0.xdc │ │ │ │ │ │ ├── xadc_wiz_0.xml │ │ │ │ │ │ ├── xadc_wiz_0 │ │ │ │ │ │ └── simulation │ │ │ │ │ │ │ ├── functional │ │ │ │ │ │ │ └── design.txt │ │ │ │ │ │ │ └── timing │ │ │ │ │ │ │ └── design.txt │ │ │ │ │ │ └── xadc_wiz_0_ooc.xdc │ │ │ │ │ ├── new │ │ │ │ │ └── xadc.v │ │ │ │ │ ├── sim │ │ │ │ │ └── xadc_0.v │ │ │ │ │ ├── synth │ │ │ │ │ └── xadc_0.v │ │ │ │ │ ├── xadc_0.dcp │ │ │ │ │ ├── xadc_0.veo │ │ │ │ │ ├── xadc_0.xci │ │ │ │ │ ├── xadc_0.xml │ │ │ │ │ ├── xadc_0_funcsim.v │ │ │ │ │ ├── xadc_0_funcsim.vhdl │ │ │ │ │ ├── xadc_0_sim_netlist.v │ │ │ │ │ ├── xadc_0_sim_netlist.vhdl │ │ │ │ │ ├── xadc_0_stub.v │ │ │ │ │ └── xadc_0_stub.vhdl │ │ │ │ ├── Oscilloscope.xpr │ │ │ │ └── ip_upgrade.log │ │ └── readme.txt │ └── Signal_Generator │ │ ├── Src │ │ ├── Constraint │ │ │ └── signal_gen.xdc │ │ ├── HDL_source │ │ │ ├── IP_Catalog │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ ├── xup_seg7decimal_1.0.zip │ │ │ │ └── xup_seg7decimal_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── seg7decimal.v │ │ │ │ │ └── xgui │ │ │ │ │ └── seg7decimal_v1_0.tcl │ │ │ ├── clock │ │ │ │ └── clock.xci │ │ │ ├── debounce_0 │ │ │ │ └── debounce_0.xci │ │ │ ├── div_gen_0 │ │ │ │ └── div_gen_0.xci │ │ │ ├── seg7decimal_0 │ │ │ │ └── seg7decimal_0.xci │ │ │ └── waveform_gen.v │ │ └── Tcl │ │ │ └── Signal_Generator.tcl │ │ └── readme.txt ├── 3_Signal_Image_Video_Processing │ ├── Image_Binarization │ │ ├── Ready_for_download │ │ │ └── B3_imageBinarization_download.bit │ │ ├── Src │ │ │ ├── Constraint │ │ │ │ └── B3_imageBinarization.xdc │ │ │ ├── HDL_source │ │ │ │ └── IP_Catalog │ │ │ │ │ ├── XUP_IICctrl_1.0.zip │ │ │ │ │ ├── XUP_IICctrl_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── iic_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── imports │ │ │ │ │ │ │ └── src │ │ │ │ │ │ │ ├── I2C_Controller.v │ │ │ │ │ │ │ └── IICctrl.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── IICctrl_v1_0.tcl │ │ │ │ │ ├── XUP_RGB16_24_V1.0.zip │ │ │ │ │ ├── XUP_RGB16_24_V1.0 │ │ │ │ │ └── XUP_RGB16_24_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── rgb565_rgb888.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── rgb565_rgb888_v1_0.tcl │ │ │ │ │ ├── XUP_RGB24_16_V1.0.zip │ │ │ │ │ ├── XUP_RGB24_16_V1.0 │ │ │ │ │ └── XUP_RGB24_16_V1.0 │ │ │ │ │ │ ├── component.xml │ │ │ │ │ │ ├── rgb888_rgb565.v │ │ │ │ │ │ └── xgui │ │ │ │ │ │ └── rgb888_rgb565_v1_0.tcl │ │ │ │ │ ├── XUP_binarization_1.0.zip │ │ │ │ │ ├── XUP_binarization_1.0 │ │ │ │ │ ├── binarization.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ │ └── binarization_v1_0.tcl │ │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0.zip │ │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0 │ │ │ │ │ ├── cam_ov7670_ov7725.v │ │ │ │ │ ├── component.xml │ │ │ │ │ └── xgui │ │ │ │ │ │ └── cam_ov7670_ov7725_v1_0.tcl │ │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── sim_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ │ ├── sources_1 │ │ │ │ │ │ └── new │ │ │ │ │ │ │ └── debounce.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ │ ├── XUP_ov7725_regData_1.0.zip │ │ │ │ │ ├── XUP_ov7725_regData_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── ov7725_regData.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── ov7725_regData_v1_0.tcl │ │ │ │ │ ├── XUP_ram_read_1.0.zip │ │ │ │ │ ├── XUP_ram_read_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── ram_read.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── ram_read_v1_0.tcl │ │ │ │ │ ├── XUP_region_cut_1.0.zip │ │ │ │ │ ├── XUP_region_cut_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── region_cut.v │ │ │ │ │ └── xgui │ │ │ │ │ │ └── region_cut_v1_0.tcl │ │ │ │ │ ├── XUP_vga_1.0.zip │ │ │ │ │ └── XUP_vga_1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── vga.v │ │ │ │ │ └── xgui │ │ │ │ │ └── vga_v1_0.tcl │ │ │ └── Tcl │ │ │ │ ├── Image_Binarization.tcl │ │ │ │ └── design_1.tcl │ │ └── readme.txt │ └── Sobel_Filter │ │ ├── Ready_for_download │ │ └── B3_sobel_download.bit │ │ ├── Src │ │ ├── Constraint │ │ │ └── B3_sobel.xdc │ │ ├── HDL_source │ │ │ └── IP_Catalog │ │ │ │ ├── XUP_IICctrl_1.0.zip │ │ │ │ ├── XUP_IICctrl_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── iic_tb.v │ │ │ │ ├── sources_1 │ │ │ │ │ └── imports │ │ │ │ │ │ └── src │ │ │ │ │ │ ├── I2C_Controller.v │ │ │ │ │ │ └── IICctrl.v │ │ │ │ └── xgui │ │ │ │ │ └── IICctrl_v1_0.tcl │ │ │ │ ├── XUP_RGB16_24_V1.0.zip │ │ │ │ ├── XUP_RGB16_24_V1.0 │ │ │ │ └── XUP_RGB16_24_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── rgb565_rgb888.v │ │ │ │ │ └── xgui │ │ │ │ │ └── rgb565_rgb888_v1_0.tcl │ │ │ │ ├── XUP_RGB24_16_V1.0.zip │ │ │ │ ├── XUP_RGB24_16_V1.0 │ │ │ │ └── XUP_RGB24_16_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── rgb888_rgb565.v │ │ │ │ │ └── xgui │ │ │ │ │ └── rgb888_rgb565_v1_0.tcl │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0.zip │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0 │ │ │ │ ├── cam_ov7670_ov7725.v │ │ │ │ ├── component.xml │ │ │ │ └── xgui │ │ │ │ │ └── cam_ov7670_ov7725_v1_0.tcl │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── debounce.v │ │ │ │ └── xgui │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ ├── XUP_ov7725_regData_1.0.zip │ │ │ │ ├── XUP_ov7725_regData_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── ov7725_regData.v │ │ │ │ └── xgui │ │ │ │ │ └── ov7725_regData_v1_0.tcl │ │ │ │ ├── XUP_ram_read_1.0.zip │ │ │ │ ├── XUP_ram_read_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── ram_read.v │ │ │ │ └── xgui │ │ │ │ │ └── ram_read_v1_0.tcl │ │ │ │ ├── XUP_region_cut_1.0.zip │ │ │ │ ├── XUP_region_cut_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── region_cut.v │ │ │ │ └── xgui │ │ │ │ │ └── region_cut_v1_0.tcl │ │ │ │ ├── XUP_sobel_1.0.zip │ │ │ │ ├── XUP_sobel_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sobel.v │ │ │ │ └── xgui │ │ │ │ │ └── sobel_v1_0.tcl │ │ │ │ ├── XUP_vga_1.0.zip │ │ │ │ └── XUP_vga_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── vga.v │ │ │ │ └── xgui │ │ │ │ └── vga_v1_0.tcl │ │ ├── Tcl │ │ │ ├── Sobel_Filter.tcl │ │ │ └── design_1.tcl │ │ └── prj │ │ │ ├── Sobel_Filter.cache │ │ │ └── wt │ │ │ │ ├── java_command_handlers.wdf │ │ │ │ ├── project.wpc │ │ │ │ ├── synthesis.wdf │ │ │ │ ├── synthesis_details.wdf │ │ │ │ └── webtalk_pa.xml │ │ │ ├── Sobel_Filter.hw │ │ │ └── Sobel_Filter.lpr │ │ │ ├── Sobel_Filter.runs │ │ │ ├── .jobs │ │ │ │ ├── vrs_config_1.xml │ │ │ │ └── vrs_config_2.xml │ │ │ ├── impl_1 │ │ │ │ ├── .Vivado_Implementation.queue.rst │ │ │ │ ├── .init_design.begin.rst │ │ │ │ ├── .init_design.end.rst │ │ │ │ ├── .opt_design.begin.rst │ │ │ │ ├── .opt_design.end.rst │ │ │ │ ├── .place_design.begin.rst │ │ │ │ ├── .place_design.end.rst │ │ │ │ ├── .route_design.begin.rst │ │ │ │ ├── .route_design.end.rst │ │ │ │ ├── .vivado.begin.rst │ │ │ │ ├── .vivado.end.rst │ │ │ │ ├── .write_bitstream.begin.rst │ │ │ │ ├── .write_bitstream.end.rst │ │ │ │ ├── ISEWrap.js │ │ │ │ ├── ISEWrap.sh │ │ │ │ ├── design_1_wrapper.bit │ │ │ │ ├── design_1_wrapper.sysdef │ │ │ │ ├── design_1_wrapper.tcl │ │ │ │ ├── design_1_wrapper.vdi │ │ │ │ ├── design_1_wrapper_clock_utilization_placed.rpt │ │ │ │ ├── design_1_wrapper_control_sets_placed.rpt │ │ │ │ ├── design_1_wrapper_drc_opted.rpt │ │ │ │ ├── design_1_wrapper_drc_routed.pb │ │ │ │ ├── design_1_wrapper_drc_routed.rpt │ │ │ │ ├── design_1_wrapper_io_placed.rpt │ │ │ │ ├── design_1_wrapper_opt.dcp │ │ │ │ ├── design_1_wrapper_placed.dcp │ │ │ │ ├── design_1_wrapper_power_routed.rpt │ │ │ │ ├── design_1_wrapper_power_summary_routed.pb │ │ │ │ ├── design_1_wrapper_route_status.pb │ │ │ │ ├── design_1_wrapper_route_status.rpt │ │ │ │ ├── design_1_wrapper_routed.dcp │ │ │ │ ├── design_1_wrapper_timing_summary_routed.rpt │ │ │ │ ├── design_1_wrapper_timing_summary_routed.rpx │ │ │ │ ├── design_1_wrapper_utilization_placed.pb │ │ │ │ ├── design_1_wrapper_utilization_placed.rpt │ │ │ │ ├── gen_run.xml │ │ │ │ ├── htr.txt │ │ │ │ ├── init_design.pb │ │ │ │ ├── opt_design.pb │ │ │ │ ├── place_design.pb │ │ │ │ ├── project.wdf │ │ │ │ ├── route_design.pb │ │ │ │ ├── rundef.js │ │ │ │ ├── runme.bat │ │ │ │ ├── runme.log │ │ │ │ ├── runme.sh │ │ │ │ ├── usage_statistics_webtalk.html │ │ │ │ ├── usage_statistics_webtalk.xml │ │ │ │ ├── vivado.jou │ │ │ │ ├── vivado.pb │ │ │ │ └── write_bitstream.pb │ │ │ └── synth_1 │ │ │ │ ├── .Vivado_Synthesis.queue.rst │ │ │ │ ├── .Xil │ │ │ │ └── design_1_wrapper_propImpl.xdc │ │ │ │ ├── .vivado.begin.rst │ │ │ │ ├── .vivado.end.rst │ │ │ │ ├── ISEWrap.js │ │ │ │ ├── ISEWrap.sh │ │ │ │ ├── design_1_wrapper.dcp │ │ │ │ ├── design_1_wrapper.hwdef │ │ │ │ ├── design_1_wrapper.tcl │ │ │ │ ├── design_1_wrapper.vds │ │ │ │ ├── design_1_wrapper_utilization_synth.pb │ │ │ │ ├── design_1_wrapper_utilization_synth.rpt │ │ │ │ ├── dont_touch.xdc │ │ │ │ ├── gen_run.xml │ │ │ │ ├── htr.txt │ │ │ │ ├── project.wdf │ │ │ │ ├── rundef.js │ │ │ │ ├── runme.bat │ │ │ │ ├── runme.log │ │ │ │ ├── runme.sh │ │ │ │ ├── vivado.jou │ │ │ │ └── vivado.pb │ │ │ ├── Sobel_Filter.srcs │ │ │ ├── constrs_1 │ │ │ │ └── imports │ │ │ │ │ └── Constraint │ │ │ │ │ └── B3_sobel.xdc │ │ │ └── sources_1 │ │ │ │ ├── bd │ │ │ │ └── design_1 │ │ │ │ │ ├── design_1.bd │ │ │ │ │ ├── design_1.bxml │ │ │ │ │ ├── design_1_ooc.xdc │ │ │ │ │ ├── hdl │ │ │ │ │ ├── design_1.v │ │ │ │ │ └── design_1_wrapper.v │ │ │ │ │ ├── hw_handoff │ │ │ │ │ ├── design_1.hwh │ │ │ │ │ └── design_1_bd.tcl │ │ │ │ │ ├── ip │ │ │ │ │ ├── design_1_IICctrl_0_0 │ │ │ │ │ │ ├── design_1_IICctrl_0_0.xci │ │ │ │ │ │ ├── design_1_IICctrl_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_IICctrl_0_0.v │ │ │ │ │ ├── design_1_blk_mem_gen_0_0 │ │ │ │ │ │ ├── design_1_blk_mem_gen_0_0.xci │ │ │ │ │ │ ├── design_1_blk_mem_gen_0_0.xml │ │ │ │ │ │ ├── design_1_blk_mem_gen_0_0_ooc.xdc │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_blk_mem_gen_0_0.vhd │ │ │ │ │ ├── design_1_cam_ov7670_ov7725_0_0 │ │ │ │ │ │ ├── design_1_cam_ov7670_ov7725_0_0.xci │ │ │ │ │ │ ├── design_1_cam_ov7670_ov7725_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_cam_ov7670_ov7725_0_0.v │ │ │ │ │ ├── design_1_clk_wiz_0_0 │ │ │ │ │ │ ├── design_1_clk_wiz_0_0.v │ │ │ │ │ │ ├── design_1_clk_wiz_0_0.xci │ │ │ │ │ │ ├── design_1_clk_wiz_0_0.xdc │ │ │ │ │ │ ├── design_1_clk_wiz_0_0.xml │ │ │ │ │ │ ├── design_1_clk_wiz_0_0_board.xdc │ │ │ │ │ │ ├── design_1_clk_wiz_0_0_clk_wiz.v │ │ │ │ │ │ └── design_1_clk_wiz_0_0_ooc.xdc │ │ │ │ │ ├── design_1_debounce_0_0 │ │ │ │ │ │ ├── design_1_debounce_0_0.xci │ │ │ │ │ │ ├── design_1_debounce_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_debounce_0_0.v │ │ │ │ │ ├── design_1_ov7725_regData_0_0 │ │ │ │ │ │ ├── design_1_ov7725_regData_0_0.xci │ │ │ │ │ │ ├── design_1_ov7725_regData_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_ov7725_regData_0_0.v │ │ │ │ │ ├── design_1_ram_read_0_0 │ │ │ │ │ │ ├── design_1_ram_read_0_0.xci │ │ │ │ │ │ ├── design_1_ram_read_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_ram_read_0_0.v │ │ │ │ │ ├── design_1_region_cut_0_0 │ │ │ │ │ │ ├── design_1_region_cut_0_0.xci │ │ │ │ │ │ ├── design_1_region_cut_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_region_cut_0_0.v │ │ │ │ │ ├── design_1_rgb565_rgb888_0_0 │ │ │ │ │ │ ├── design_1_rgb565_rgb888_0_0.xci │ │ │ │ │ │ ├── design_1_rgb565_rgb888_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_rgb565_rgb888_0_0.v │ │ │ │ │ ├── design_1_rgb888_rgb565_0_0 │ │ │ │ │ │ ├── design_1_rgb888_rgb565_0_0.xci │ │ │ │ │ │ ├── design_1_rgb888_rgb565_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_rgb888_rgb565_0_0.v │ │ │ │ │ ├── design_1_sobel_0_0 │ │ │ │ │ │ ├── design_1_sobel_0_0.xci │ │ │ │ │ │ ├── design_1_sobel_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_sobel_0_0.v │ │ │ │ │ ├── design_1_vga_0_0 │ │ │ │ │ │ ├── design_1_vga_0_0.xci │ │ │ │ │ │ ├── design_1_vga_0_0.xml │ │ │ │ │ │ └── synth │ │ │ │ │ │ │ └── design_1_vga_0_0.v │ │ │ │ │ └── design_1_xlconstant_0_0 │ │ │ │ │ │ ├── design_1_xlconstant_0_0.xci │ │ │ │ │ │ ├── design_1_xlconstant_0_0.xml │ │ │ │ │ │ └── sim │ │ │ │ │ │ └── design_1_xlconstant_0_0.v │ │ │ │ │ └── ui │ │ │ │ │ └── bd_1f5defd0.ui │ │ │ │ ├── imports │ │ │ │ └── hdl │ │ │ │ │ └── design_1_wrapper.v │ │ │ │ └── ipshared │ │ │ │ └── xilinx.com │ │ │ │ ├── IICctrl_v1_0 │ │ │ │ └── 6647e60a │ │ │ │ │ └── sources_1 │ │ │ │ │ └── imports │ │ │ │ │ └── src │ │ │ │ │ ├── I2C_Controller.v │ │ │ │ │ └── IICctrl.v │ │ │ │ ├── blk_mem_gen_v8_2 │ │ │ │ └── 38e122e0 │ │ │ │ │ └── hdl │ │ │ │ │ ├── blk_mem_gen_v8_2.vhd │ │ │ │ │ └── blk_mem_gen_v8_2_vhsyn_rfs.vhd │ │ │ │ ├── cam_ov7670_ov7725_v1_0 │ │ │ │ └── 582a8f8b │ │ │ │ │ └── cam_ov7670_ov7725.v │ │ │ │ ├── debounce_v1_0 │ │ │ │ └── d86dd21c │ │ │ │ │ └── sources_1 │ │ │ │ │ └── new │ │ │ │ │ └── debounce.v │ │ │ │ ├── ov7725_regData_v1_0 │ │ │ │ └── 4367a5ed │ │ │ │ │ └── ov7725_regData.v │ │ │ │ ├── ram_read_v1_0 │ │ │ │ └── f389a5e2 │ │ │ │ │ └── ram_read.v │ │ │ │ ├── region_cut_v1_0 │ │ │ │ └── c1f7ec3e │ │ │ │ │ └── region_cut.v │ │ │ │ ├── rgb565_rgb888_v1_0 │ │ │ │ └── 61bec671 │ │ │ │ │ └── rgb565_rgb888.v │ │ │ │ ├── rgb888_rgb565_v1_0 │ │ │ │ └── 46b53b97 │ │ │ │ │ └── rgb888_rgb565.v │ │ │ │ ├── sobel_v1_0 │ │ │ │ └── 30963803 │ │ │ │ │ └── sobel.v │ │ │ │ ├── vga_v1_0 │ │ │ │ └── 4793d6e9 │ │ │ │ │ └── vga.v │ │ │ │ └── xlconstant_v1_1 │ │ │ │ └── 36911fd5 │ │ │ │ └── xlconstant.v │ │ │ └── Sobel_Filter.xpr │ │ └── readme.txt ├── 4_Microblaze │ ├── HelloWorld │ │ ├── C_source │ │ │ └── helloworld.c │ │ ├── Constraint │ │ │ └── B3_MB_uart.xdc │ │ ├── Tcl │ │ │ ├── MB_Uart.tcl │ │ │ └── design_1.tcl │ │ └── prj │ │ │ ├── MB_Uart.cache │ │ │ └── wt │ │ │ │ ├── java_command_handlers.wdf │ │ │ │ ├── project.wpc │ │ │ │ ├── synthesis.wdf │ │ │ │ └── webtalk_pa.xml │ │ │ ├── MB_Uart.hw │ │ │ └── MB_Uart.lpr │ │ │ ├── MB_Uart.ip_user_files │ │ │ └── README.txt │ │ │ ├── MB_Uart.runs │ │ │ └── .jobs │ │ │ │ └── vrs_config_1.xml │ │ │ ├── MB_Uart.srcs │ │ │ ├── constrs_1 │ │ │ │ └── imports │ │ │ │ │ └── Constraint │ │ │ │ │ └── B3_MB_uart.xdc │ │ │ └── sources_1 │ │ │ │ ├── bd │ │ │ │ └── design_1 │ │ │ │ │ ├── design_1.bd │ │ │ │ │ ├── design_1.bxml │ │ │ │ │ ├── hdl │ │ │ │ │ └── design_1_wrapper.v │ │ │ │ │ ├── ip │ │ │ │ │ ├── design_1_axi_interconnect_0_0 │ │ │ │ │ │ ├── design_1_axi_interconnect_0_0.xci │ │ │ │ │ │ └── design_1_axi_interconnect_0_0.xml │ │ │ │ │ ├── design_1_axi_uartlite_0_0 │ │ │ │ │ │ ├── design_1_axi_uartlite_0_0.xci │ │ │ │ │ │ └── design_1_axi_uartlite_0_0.xml │ │ │ │ │ ├── design_1_clk_wiz_0_0 │ │ │ │ │ │ ├── design_1_clk_wiz_0_0.xci │ │ │ │ │ │ └── design_1_clk_wiz_0_0.xml │ │ │ │ │ ├── design_1_dlmb_bram_if_cntlr_0 │ │ │ │ │ │ ├── design_1_dlmb_bram_if_cntlr_0.xci │ │ │ │ │ │ └── design_1_dlmb_bram_if_cntlr_0.xml │ │ │ │ │ ├── design_1_dlmb_v10_0 │ │ │ │ │ │ ├── design_1_dlmb_v10_0.xci │ │ │ │ │ │ └── design_1_dlmb_v10_0.xml │ │ │ │ │ ├── design_1_ilmb_bram_if_cntlr_0 │ │ │ │ │ │ ├── design_1_ilmb_bram_if_cntlr_0.xci │ │ │ │ │ │ └── design_1_ilmb_bram_if_cntlr_0.xml │ │ │ │ │ ├── design_1_ilmb_v10_0 │ │ │ │ │ │ ├── design_1_ilmb_v10_0.xci │ │ │ │ │ │ └── design_1_ilmb_v10_0.xml │ │ │ │ │ ├── design_1_lmb_bram_0 │ │ │ │ │ │ ├── design_1_lmb_bram_0.xci │ │ │ │ │ │ └── design_1_lmb_bram_0.xml │ │ │ │ │ ├── design_1_mdm_1_0 │ │ │ │ │ │ ├── design_1_mdm_1_0.xci │ │ │ │ │ │ └── design_1_mdm_1_0.xml │ │ │ │ │ ├── design_1_microblaze_0_0 │ │ │ │ │ │ ├── design_1_microblaze_0_0.xci │ │ │ │ │ │ └── design_1_microblaze_0_0.xml │ │ │ │ │ ├── design_1_rst_clk_wiz_1_100M_0 │ │ │ │ │ │ ├── design_1_rst_clk_wiz_1_100M_0.xci │ │ │ │ │ │ └── design_1_rst_clk_wiz_1_100M_0.xml │ │ │ │ │ └── design_1_xlconstant_1_0 │ │ │ │ │ │ ├── design_1_xlconstant_1_0.xci │ │ │ │ │ │ └── design_1_xlconstant_1_0.xml │ │ │ │ │ └── ui │ │ │ │ │ └── bd_1f5defd0.ui │ │ │ │ └── imports │ │ │ │ └── hdl │ │ │ │ └── design_1_wrapper.v │ │ │ ├── MB_Uart.xpr │ │ │ └── ip_upgrade.log │ └── OLED │ │ ├── MB_Uart.cache │ │ ├── ip │ │ │ ├── 07063c77 │ │ │ │ ├── 07063c77.xci │ │ │ │ └── i_ila.dcp │ │ │ ├── 76458b5c │ │ │ │ ├── 76458b5c.xci │ │ │ │ └── i_xsdbm.dcp │ │ │ └── 83b65077 │ │ │ │ ├── 83b65077.xci │ │ │ │ └── i_ila.dcp │ │ └── wt │ │ │ ├── java_command_handlers.wdf │ │ │ ├── project.wpc │ │ │ ├── synthesis.wdf │ │ │ ├── synthesis_details.wdf │ │ │ └── webtalk_pa.xml │ │ ├── MB_Uart.hw │ │ ├── MB_Uart.lpr │ │ └── hw_1 │ │ │ ├── hw.xml │ │ │ └── xc7a35t_0 │ │ │ └── dashboard │ │ │ ├── XADC.xml │ │ │ ├── hw_ila_1.xml │ │ │ └── hw_ila_2.xml │ │ ├── MB_Uart.ip_user_files │ │ └── README.txt │ │ ├── MB_Uart.runs │ │ ├── .jobs │ │ │ ├── vrs_config_1.xml │ │ │ ├── vrs_config_10.xml │ │ │ ├── vrs_config_11.xml │ │ │ ├── vrs_config_12.xml │ │ │ ├── vrs_config_13.xml │ │ │ ├── vrs_config_14.xml │ │ │ ├── vrs_config_15.xml │ │ │ ├── vrs_config_16.xml │ │ │ ├── vrs_config_17.xml │ │ │ ├── vrs_config_18.xml │ │ │ ├── vrs_config_19.xml │ │ │ ├── vrs_config_2.xml │ │ │ ├── vrs_config_20.xml │ │ │ ├── vrs_config_21.xml │ │ │ ├── vrs_config_22.xml │ │ │ ├── vrs_config_23.xml │ │ │ ├── vrs_config_24.xml │ │ │ ├── vrs_config_25.xml │ │ │ ├── vrs_config_26.xml │ │ │ ├── vrs_config_27.xml │ │ │ ├── vrs_config_28.xml │ │ │ ├── vrs_config_29.xml │ │ │ ├── vrs_config_3.xml │ │ │ ├── vrs_config_30.xml │ │ │ ├── vrs_config_31.xml │ │ │ ├── vrs_config_32.xml │ │ │ ├── vrs_config_33.xml │ │ │ ├── vrs_config_34.xml │ │ │ ├── vrs_config_35.xml │ │ │ ├── vrs_config_36.xml │ │ │ ├── vrs_config_4.xml │ │ │ ├── vrs_config_5.xml │ │ │ ├── vrs_config_6.xml │ │ │ ├── vrs_config_7.xml │ │ │ ├── vrs_config_8.xml │ │ │ └── vrs_config_9.xml │ │ ├── impl_1 │ │ │ ├── debug_nets.ltx │ │ │ ├── init_design.pb │ │ │ ├── opt_design.pb │ │ │ ├── place_design.pb │ │ │ ├── route_design.pb │ │ │ ├── runme.log │ │ │ ├── vivado_18232.backup.jou │ │ │ ├── vivado_20716.backup.jou │ │ │ ├── vivado_97296.backup.jou │ │ │ └── write_bitstream.pb │ │ └── synth_1 │ │ │ └── runme.log │ │ ├── MB_Uart.sdk │ │ ├── .metadata │ │ │ ├── .lock │ │ │ ├── .log │ │ │ ├── .plugins │ │ │ │ ├── org.eclipse.cdt.core │ │ │ │ │ ├── .log │ │ │ │ │ ├── oled.1454480964145.pdom │ │ │ │ │ └── oled_bsp.1454480965348.pdom │ │ │ │ ├── org.eclipse.cdt.make.core │ │ │ │ │ ├── .log │ │ │ │ │ ├── oled.sc │ │ │ │ │ ├── specs.c │ │ │ │ │ └── specs.cpp │ │ │ │ ├── org.eclipse.cdt.ui │ │ │ │ │ ├── global-build.log │ │ │ │ │ ├── oled.build.log │ │ │ │ │ └── oled_bsp.build.log │ │ │ │ ├── org.eclipse.core.resources │ │ │ │ │ ├── .history │ │ │ │ │ │ ├── 21 │ │ │ │ │ │ │ └── 60c737783fca00151a9fc8aaf2f44167 │ │ │ │ │ │ └── db │ │ │ │ │ │ │ └── 00eb1a9f3fca00151a9fc8aaf2f44167 │ │ │ │ │ ├── .projects │ │ │ │ │ │ ├── RemoteSystemsTempFiles │ │ │ │ │ │ │ ├── .markers.snap │ │ │ │ │ │ │ └── .syncinfo.snap │ │ │ │ │ │ ├── design_1_wrapper_hw_platform_0 │ │ │ │ │ │ │ ├── .indexes │ │ │ │ │ │ │ │ └── properties.index │ │ │ │ │ │ │ ├── .markers.snap │ │ │ │ │ │ │ └── .syncinfo.snap │ │ │ │ │ │ ├── oled │ │ │ │ │ │ │ ├── .indexes │ │ │ │ │ │ │ │ ├── e4 │ │ │ │ │ │ │ │ │ └── properties.index │ │ │ │ │ │ │ │ └── properties.index │ │ │ │ │ │ │ ├── .markers.snap │ │ │ │ │ │ │ └── .syncinfo.snap │ │ │ │ │ │ └── oled_bsp │ │ │ │ │ │ │ ├── .indexes │ │ │ │ │ │ │ └── properties.index │ │ │ │ │ │ │ ├── .markers.snap │ │ │ │ │ │ │ └── .syncinfo.snap │ │ │ │ │ ├── .root │ │ │ │ │ │ ├── .indexes │ │ │ │ │ │ │ └── properties.index │ │ │ │ │ │ └── .markers.snap │ │ │ │ │ ├── .safetable │ │ │ │ │ │ └── org.eclipse.core.resources │ │ │ │ │ └── .snap │ │ │ │ ├── org.eclipse.core.runtime │ │ │ │ │ └── .settings │ │ │ │ │ │ ├── org.eclipse.cdt.core.prj-oled.prefs │ │ │ │ │ │ ├── org.eclipse.cdt.core.prj-oled_bsp.prefs │ │ │ │ │ │ ├── org.eclipse.cdt.debug.core.prefs │ │ │ │ │ │ ├── org.eclipse.cdt.managedbuilder.core.prefs │ │ │ │ │ │ ├── org.eclipse.core.resources.prefs │ │ │ │ │ │ ├── org.eclipse.debug.core.prefs │ │ │ │ │ │ ├── org.eclipse.debug.ui.prefs │ │ │ │ │ │ ├── org.eclipse.jdt.ui.prefs │ │ │ │ │ │ ├── org.eclipse.rse.core.prefs │ │ │ │ │ │ ├── org.eclipse.rse.ui.prefs │ │ │ │ │ │ └── org.eclipse.ui.prefs │ │ │ │ ├── org.eclipse.debug.core │ │ │ │ │ └── .launches │ │ │ │ │ │ └── oled.elf.launch │ │ │ │ ├── org.eclipse.debug.ui │ │ │ │ │ └── launchConfigurationHistory.xml │ │ │ │ ├── org.eclipse.e4.workbench │ │ │ │ │ └── workbench.xmi │ │ │ │ ├── org.eclipse.jdt.core │ │ │ │ │ └── variablesAndContainers.dat │ │ │ │ ├── org.eclipse.rse.core │ │ │ │ │ ├── .log │ │ │ │ │ ├── initializerMarks │ │ │ │ │ │ └── org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark │ │ │ │ │ └── profiles │ │ │ │ │ │ └── PRF.xshzhehengt30_8188 │ │ │ │ │ │ ├── FP.local.files_0 │ │ │ │ │ │ └── node.properties │ │ │ │ │ │ ├── H.local_16 │ │ │ │ │ │ └── node.properties │ │ │ │ │ │ └── node.properties │ │ │ │ ├── org.eclipse.rse.ui │ │ │ │ │ └── .log │ │ │ │ ├── org.eclipse.tcf.debug │ │ │ │ │ └── peers.ini │ │ │ │ └── org.eclipse.ui.workbench │ │ │ │ │ └── workingsets.xml │ │ │ └── version.ini │ │ ├── RemoteSystemsTempFiles │ │ │ └── .project │ │ ├── SDK.log │ │ ├── design_1_wrapper.hdf │ │ ├── design_1_wrapper_hw_platform_0 │ │ │ ├── .project │ │ │ ├── design_1.hwh │ │ │ ├── design_1_bd.tcl │ │ │ ├── design_1_wrapper.bit │ │ │ ├── design_1_wrapper.mmi │ │ │ ├── download.bit │ │ │ ├── sysdef.xml │ │ │ └── system.hdf │ │ ├── oled │ │ │ ├── .cproject │ │ │ ├── .project │ │ │ ├── Debug │ │ │ │ ├── makefile │ │ │ │ ├── objects.mk │ │ │ │ ├── oled.elf │ │ │ │ ├── oled.elf.size │ │ │ │ ├── sources.mk │ │ │ │ └── src │ │ │ │ │ ├── subdir.mk │ │ │ │ │ ├── testperiph.d │ │ │ │ │ ├── testperiph.o │ │ │ │ │ ├── xgpio_tapp_example.d │ │ │ │ │ ├── xgpio_tapp_example.o │ │ │ │ │ ├── xil_oled.d │ │ │ │ │ ├── xil_oled.o │ │ │ │ │ ├── xintc_tapp_example.d │ │ │ │ │ ├── xintc_tapp_example.o │ │ │ │ │ ├── xspi_intr_example.d │ │ │ │ │ ├── xspi_intr_example.o │ │ │ │ │ ├── xspi_selftest_example.d │ │ │ │ │ └── xspi_selftest_example.o │ │ │ └── src │ │ │ │ ├── gpio_header.h │ │ │ │ ├── intc_header.h │ │ │ │ ├── lscript.ld │ │ │ │ ├── spi_header.h │ │ │ │ ├── spi_intr_header.h │ │ │ │ ├── testperiph.c │ │ │ │ ├── xgpio_tapp_example.c │ │ │ │ ├── xil_oled.c │ │ │ │ ├── xil_oled.h │ │ │ │ ├── xintc_tapp_example.c │ │ │ │ ├── xspi_intr_example.c │ │ │ │ └── xspi_selftest_example.c │ │ ├── oled_bsp │ │ │ ├── .cproject │ │ │ ├── .project │ │ │ ├── .sdkproject │ │ │ ├── Makefile │ │ │ ├── microblaze_0 │ │ │ │ ├── include │ │ │ │ │ ├── _profile_timer_hw.h │ │ │ │ │ ├── bspconfig.h │ │ │ │ │ ├── fsl.h │ │ │ │ │ ├── mb_interface.h │ │ │ │ │ ├── mblaze_nt_types.h │ │ │ │ │ ├── microblaze_exceptions_g.h │ │ │ │ │ ├── microblaze_exceptions_i.h │ │ │ │ │ ├── microblaze_interrupts_i.h │ │ │ │ │ ├── microblaze_sleep.h │ │ │ │ │ ├── profile.h │ │ │ │ │ ├── pvr.h │ │ │ │ │ ├── xbasic_types.h │ │ │ │ │ ├── xbram.h │ │ │ │ │ ├── xbram_hw.h │ │ │ │ │ ├── xdebug.h │ │ │ │ │ ├── xenv.h │ │ │ │ │ ├── xenv_standalone.h │ │ │ │ │ ├── xgpio.h │ │ │ │ │ ├── xgpio_l.h │ │ │ │ │ ├── xil_assert.h │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ ├── xil_cache_vxworks.h │ │ │ │ │ ├── xil_exception.h │ │ │ │ │ ├── xil_hal.h │ │ │ │ │ ├── xil_io.h │ │ │ │ │ ├── xil_macroback.h │ │ │ │ │ ├── xil_misc_psreset_api.h │ │ │ │ │ ├── xil_printf.h │ │ │ │ │ ├── xil_testcache.h │ │ │ │ │ ├── xil_testio.h │ │ │ │ │ ├── xil_testmem.h │ │ │ │ │ ├── xil_types.h │ │ │ │ │ ├── xintc.h │ │ │ │ │ ├── xintc_i.h │ │ │ │ │ ├── xintc_l.h │ │ │ │ │ ├── xio.h │ │ │ │ │ ├── xparameters.h │ │ │ │ │ ├── xspi.h │ │ │ │ │ ├── xspi_l.h │ │ │ │ │ └── xstatus.h │ │ │ │ ├── lib │ │ │ │ │ ├── libc.a │ │ │ │ │ ├── libgcc.a │ │ │ │ │ ├── libgloss.a │ │ │ │ │ ├── libm.a │ │ │ │ │ └── libxil.a │ │ │ │ └── libsrc │ │ │ │ │ ├── bram_v4_0 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xbram.c │ │ │ │ │ │ ├── xbram.h │ │ │ │ │ │ ├── xbram_g.c │ │ │ │ │ │ ├── xbram_hw.h │ │ │ │ │ │ ├── xbram_intr.c │ │ │ │ │ │ ├── xbram_selftest.c │ │ │ │ │ │ └── xbram_sinit.c │ │ │ │ │ ├── cpu_v2_2 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── fsl.h │ │ │ │ │ │ ├── xio.c │ │ │ │ │ │ └── xio.h │ │ │ │ │ ├── gpio_v4_0 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xgpio.c │ │ │ │ │ │ ├── xgpio.h │ │ │ │ │ │ ├── xgpio_extra.c │ │ │ │ │ │ ├── xgpio_g.c │ │ │ │ │ │ ├── xgpio_i.h │ │ │ │ │ │ ├── xgpio_intr.c │ │ │ │ │ │ ├── xgpio_l.h │ │ │ │ │ │ ├── xgpio_selftest.c │ │ │ │ │ │ └── xgpio_sinit.c │ │ │ │ │ ├── intc_v3_2 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xintc.c │ │ │ │ │ │ ├── xintc.h │ │ │ │ │ │ ├── xintc_g.c │ │ │ │ │ │ ├── xintc_i.h │ │ │ │ │ │ ├── xintc_intr.c │ │ │ │ │ │ ├── xintc_l.c │ │ │ │ │ │ ├── xintc_l.h │ │ │ │ │ │ ├── xintc_options.c │ │ │ │ │ │ └── xintc_selftest.c │ │ │ │ │ ├── spi_v4_1 │ │ │ │ │ └── src │ │ │ │ │ │ ├── Makefile │ │ │ │ │ │ ├── xspi.c │ │ │ │ │ │ ├── xspi.h │ │ │ │ │ │ ├── xspi_g.c │ │ │ │ │ │ ├── xspi_i.h │ │ │ │ │ │ ├── xspi_l.h │ │ │ │ │ │ ├── xspi_options.c │ │ │ │ │ │ ├── xspi_selftest.c │ │ │ │ │ │ ├── xspi_sinit.c │ │ │ │ │ │ └── xspi_stats.c │ │ │ │ │ └── standalone_v4_2 │ │ │ │ │ └── src │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── _exit.c │ │ │ │ │ ├── _exit.o │ │ │ │ │ ├── bspconfig.h │ │ │ │ │ ├── changelog.txt │ │ │ │ │ ├── config.make │ │ │ │ │ ├── errno.c │ │ │ │ │ ├── errno.o │ │ │ │ │ ├── fcntl.c │ │ │ │ │ ├── fcntl.o │ │ │ │ │ ├── fsl.h │ │ │ │ │ ├── hw_exception_handler.S │ │ │ │ │ ├── hw_exception_handler.o │ │ │ │ │ ├── mb_interface.h │ │ │ │ │ ├── microblaze_disable_dcache.S │ │ │ │ │ ├── microblaze_disable_dcache.o │ │ │ │ │ ├── microblaze_disable_exceptions.S │ │ │ │ │ ├── microblaze_disable_exceptions.o │ │ │ │ │ ├── microblaze_disable_icache.S │ │ │ │ │ ├── microblaze_disable_icache.o │ │ │ │ │ ├── microblaze_disable_interrupts.S │ │ │ │ │ ├── microblaze_disable_interrupts.o │ │ │ │ │ ├── microblaze_enable_dcache.S │ │ │ │ │ ├── microblaze_enable_dcache.o │ │ │ │ │ ├── microblaze_enable_exceptions.S │ │ │ │ │ ├── microblaze_enable_exceptions.o │ │ │ │ │ ├── microblaze_enable_icache.S │ │ │ │ │ ├── microblaze_enable_icache.o │ │ │ │ │ ├── microblaze_enable_interrupts.S │ │ │ │ │ ├── microblaze_enable_interrupts.o │ │ │ │ │ ├── microblaze_exception_handler.c │ │ │ │ │ ├── microblaze_exception_handler.o │ │ │ │ │ ├── microblaze_exceptions_g.h │ │ │ │ │ ├── microblaze_exceptions_i.h │ │ │ │ │ ├── microblaze_flush_cache_ext.S │ │ │ │ │ ├── microblaze_flush_cache_ext.o │ │ │ │ │ ├── microblaze_flush_cache_ext_range.S │ │ │ │ │ ├── microblaze_flush_cache_ext_range.o │ │ │ │ │ ├── microblaze_flush_dcache.S │ │ │ │ │ ├── microblaze_flush_dcache.o │ │ │ │ │ ├── microblaze_flush_dcache_range.S │ │ │ │ │ ├── microblaze_flush_dcache_range.o │ │ │ │ │ ├── microblaze_init_dcache_range.S │ │ │ │ │ ├── microblaze_init_dcache_range.o │ │ │ │ │ ├── microblaze_init_icache_range.S │ │ │ │ │ ├── microblaze_init_icache_range.o │ │ │ │ │ ├── microblaze_interrupt_handler.c │ │ │ │ │ ├── microblaze_interrupt_handler.o │ │ │ │ │ ├── microblaze_interrupts_g.c │ │ │ │ │ ├── microblaze_interrupts_g.o │ │ │ │ │ ├── microblaze_interrupts_i.h │ │ │ │ │ ├── microblaze_invalidate_cache_ext.S │ │ │ │ │ ├── microblaze_invalidate_cache_ext.o │ │ │ │ │ ├── microblaze_invalidate_cache_ext_range.S │ │ │ │ │ ├── microblaze_invalidate_cache_ext_range.o │ │ │ │ │ ├── microblaze_invalidate_dcache.S │ │ │ │ │ ├── microblaze_invalidate_dcache.o │ │ │ │ │ ├── microblaze_invalidate_dcache_range.S │ │ │ │ │ ├── microblaze_invalidate_dcache_range.o │ │ │ │ │ ├── microblaze_invalidate_icache.S │ │ │ │ │ ├── microblaze_invalidate_icache.o │ │ │ │ │ ├── microblaze_invalidate_icache_range.S │ │ │ │ │ ├── microblaze_invalidate_icache_range.o │ │ │ │ │ ├── microblaze_scrub.S │ │ │ │ │ ├── microblaze_scrub.o │ │ │ │ │ ├── microblaze_sleep.c │ │ │ │ │ ├── microblaze_sleep.h │ │ │ │ │ ├── microblaze_sleep.o │ │ │ │ │ ├── microblaze_update_dcache.S │ │ │ │ │ ├── microblaze_update_dcache.o │ │ │ │ │ ├── microblaze_update_icache.S │ │ │ │ │ ├── microblaze_update_icache.o │ │ │ │ │ ├── profile │ │ │ │ │ ├── Makefile │ │ │ │ │ ├── _profile_clean.c │ │ │ │ │ ├── _profile_init.c │ │ │ │ │ ├── _profile_timer_hw.c │ │ │ │ │ ├── _profile_timer_hw.h │ │ │ │ │ ├── dummy.S │ │ │ │ │ ├── mblaze_nt_types.h │ │ │ │ │ ├── profile.h │ │ │ │ │ ├── profile_cg.c │ │ │ │ │ ├── profile_config.h │ │ │ │ │ ├── profile_hist.c │ │ │ │ │ ├── profile_mcount_arm.S │ │ │ │ │ ├── profile_mcount_mb.S │ │ │ │ │ └── profile_mcount_ppc.S │ │ │ │ │ ├── pvr.c │ │ │ │ │ ├── pvr.h │ │ │ │ │ ├── pvr.o │ │ │ │ │ ├── xbasic_types.h │ │ │ │ │ ├── xdebug.h │ │ │ │ │ ├── xenv.h │ │ │ │ │ ├── xenv_standalone.h │ │ │ │ │ ├── xil_assert.c │ │ │ │ │ ├── xil_assert.h │ │ │ │ │ ├── xil_assert.o │ │ │ │ │ ├── xil_cache.c │ │ │ │ │ ├── xil_cache.h │ │ │ │ │ ├── xil_cache.o │ │ │ │ │ ├── xil_cache_vxworks.h │ │ │ │ │ ├── xil_exception.c │ │ │ │ │ ├── xil_exception.h │ │ │ │ │ ├── xil_exception.o │ │ │ │ │ ├── xil_hal.h │ │ │ │ │ ├── xil_io.c │ │ │ │ │ ├── xil_io.h │ │ │ │ │ ├── xil_io.o │ │ │ │ │ ├── xil_macroback.h │ │ │ │ │ ├── xil_misc_psreset_api.c │ │ │ │ │ ├── xil_misc_psreset_api.h │ │ │ │ │ ├── xil_misc_psreset_api.o │ │ │ │ │ ├── xil_printf.h │ │ │ │ │ ├── xil_testcache.c │ │ │ │ │ ├── xil_testcache.h │ │ │ │ │ ├── xil_testcache.o │ │ │ │ │ ├── xil_testio.c │ │ │ │ │ ├── xil_testio.h │ │ │ │ │ ├── xil_testio.o │ │ │ │ │ ├── xil_testmem.c │ │ │ │ │ ├── xil_testmem.h │ │ │ │ │ ├── xil_testmem.o │ │ │ │ │ ├── xil_types.h │ │ │ │ │ └── xstatus.h │ │ │ └── system.mss │ │ └── webtalk │ │ │ ├── sdk_webtalk.tcl │ │ │ └── sdk_webtalk.wdm │ │ ├── MB_Uart.srcs │ │ ├── constrs_1 │ │ │ └── imports │ │ │ │ └── Constraint │ │ │ │ └── B3_MB_uart.xdc │ │ └── sources_1 │ │ │ ├── bd │ │ │ └── design_1 │ │ │ │ ├── design_1.bd │ │ │ │ ├── design_1.bxml │ │ │ │ ├── hdl │ │ │ │ └── design_1_wrapper.v │ │ │ │ ├── ip │ │ │ │ ├── design_1_axi_gpio_0_0 │ │ │ │ │ ├── design_1_axi_gpio_0_0.xci │ │ │ │ │ └── design_1_axi_gpio_0_0.xml │ │ │ │ ├── design_1_axi_gpio_0_1 │ │ │ │ │ ├── design_1_axi_gpio_0_1.xci │ │ │ │ │ └── design_1_axi_gpio_0_1.xml │ │ │ │ ├── design_1_axi_gpio_0_2 │ │ │ │ │ ├── design_1_axi_gpio_0_2.xci │ │ │ │ │ └── design_1_axi_gpio_0_2.xml │ │ │ │ ├── design_1_axi_gpio_1_0 │ │ │ │ │ ├── design_1_axi_gpio_1_0.xci │ │ │ │ │ └── design_1_axi_gpio_1_0.xml │ │ │ │ ├── design_1_axi_intc_0_0 │ │ │ │ │ ├── design_1_axi_intc_0_0.xci │ │ │ │ │ └── design_1_axi_intc_0_0.xml │ │ │ │ ├── design_1_axi_interconnect_0_0 │ │ │ │ │ ├── design_1_axi_interconnect_0_0.xci │ │ │ │ │ └── design_1_axi_interconnect_0_0.xml │ │ │ │ ├── design_1_axi_quad_spi_0_0 │ │ │ │ │ ├── design_1_axi_quad_spi_0_0.xci │ │ │ │ │ └── design_1_axi_quad_spi_0_0.xml │ │ │ │ ├── design_1_clk_wiz_0_0 │ │ │ │ │ ├── design_1_clk_wiz_0_0.xci │ │ │ │ │ └── design_1_clk_wiz_0_0.xml │ │ │ │ ├── design_1_dlmb_bram_if_cntlr_0 │ │ │ │ │ ├── design_1_dlmb_bram_if_cntlr_0.xci │ │ │ │ │ └── design_1_dlmb_bram_if_cntlr_0.xml │ │ │ │ ├── design_1_dlmb_v10_0 │ │ │ │ │ ├── design_1_dlmb_v10_0.xci │ │ │ │ │ └── design_1_dlmb_v10_0.xml │ │ │ │ ├── design_1_ilmb_bram_if_cntlr_0 │ │ │ │ │ ├── design_1_ilmb_bram_if_cntlr_0.xci │ │ │ │ │ └── design_1_ilmb_bram_if_cntlr_0.xml │ │ │ │ ├── design_1_ilmb_v10_0 │ │ │ │ │ ├── design_1_ilmb_v10_0.xci │ │ │ │ │ └── design_1_ilmb_v10_0.xml │ │ │ │ ├── design_1_lmb_bram_0 │ │ │ │ │ ├── design_1_lmb_bram_0.xci │ │ │ │ │ └── design_1_lmb_bram_0.xml │ │ │ │ ├── design_1_mdm_1_0 │ │ │ │ │ ├── design_1_mdm_1_0.xci │ │ │ │ │ └── design_1_mdm_1_0.xml │ │ │ │ ├── design_1_microblaze_0_0 │ │ │ │ │ ├── design_1_microblaze_0_0.xci │ │ │ │ │ └── design_1_microblaze_0_0.xml │ │ │ │ ├── design_1_rst_clk_wiz_1_100M_0 │ │ │ │ │ ├── design_1_rst_clk_wiz_1_100M_0.xci │ │ │ │ │ └── design_1_rst_clk_wiz_1_100M_0.xml │ │ │ │ ├── design_1_xbar_0 │ │ │ │ │ ├── design_1_xbar_0.xci │ │ │ │ │ └── design_1_xbar_0.xml │ │ │ │ └── design_1_xlconstant_1_0 │ │ │ │ │ ├── design_1_xlconstant_1_0.xci │ │ │ │ │ └── design_1_xlconstant_1_0.xml │ │ │ │ └── ui │ │ │ │ ├── bd_1f5defd0.ui │ │ │ │ └── bd_5142a355.ui │ │ │ └── imports │ │ │ └── hdl │ │ │ └── design_1_wrapper.v │ │ ├── MB_Uart.xpr │ │ └── ip_upgrade.log └── 5_HLS │ ├── Ball_Tracking_with_hls_sobel │ ├── Ready_for_download │ │ └── B3_BallTrack_download.bit │ ├── Src │ │ ├── Constraint │ │ │ └── B3_Balltrack.xdc │ │ ├── HDL_source │ │ │ └── IP_Catalog │ │ │ │ ├── XUP_ColorDetect_V1.0.zip │ │ │ │ ├── XUP_ColorDetect_V1.0 │ │ │ │ └── XUP_ColorDetect_V1.0 │ │ │ │ │ ├── BW.v │ │ │ │ │ ├── center.v │ │ │ │ │ ├── colorDetect.v │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── new │ │ │ │ │ └── weight_cal.v │ │ │ │ │ ├── render.v │ │ │ │ │ └── xgui │ │ │ │ │ └── colorDetect_v1_0.tcl │ │ │ │ ├── XUP_Dilate_V1.0.zip │ │ │ │ ├── XUP_Dilate_V1.0 │ │ │ │ └── XUP_Dilate_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── dilate.v │ │ │ │ │ └── xgui │ │ │ │ │ └── dilate_v1_0.tcl │ │ │ │ ├── XUP_Erode_V1.0.zip │ │ │ │ ├── XUP_Erode_V1.0 │ │ │ │ └── XUP_Erode_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── erode.v │ │ │ │ │ └── xgui │ │ │ │ │ └── erode_v1_0.tcl │ │ │ │ ├── XUP_IICctrl_1.0.zip │ │ │ │ ├── XUP_IICctrl_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── iic_tb.v │ │ │ │ ├── sources_1 │ │ │ │ │ └── imports │ │ │ │ │ │ └── src │ │ │ │ │ │ ├── I2C_Controller.v │ │ │ │ │ │ └── IICctrl.v │ │ │ │ └── xgui │ │ │ │ │ └── IICctrl_v1_0.tcl │ │ │ │ ├── XUP_PWM_gen_1.0.zip │ │ │ │ ├── XUP_PWM_gen_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── pwm_tb.v │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── PWM_gen.v │ │ │ │ └── xgui │ │ │ │ │ └── PWM_gen_v1_0.tcl │ │ │ │ ├── XUP_RGB16_24_V1.0.zip │ │ │ │ ├── XUP_RGB16_24_V1.0 │ │ │ │ └── XUP_RGB16_24_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── rgb565_rgb888.v │ │ │ │ │ └── xgui │ │ │ │ │ └── rgb565_rgb888_v1_0.tcl │ │ │ │ ├── XUP_RGB24_16_V1.0.zip │ │ │ │ ├── XUP_RGB24_16_V1.0 │ │ │ │ └── XUP_RGB24_16_V1.0 │ │ │ │ │ ├── component.xml │ │ │ │ │ ├── rgb888_rgb565.v │ │ │ │ │ └── xgui │ │ │ │ │ └── rgb888_rgb565_v1_0.tcl │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0.zip │ │ │ │ ├── XUP_cam_ov7670_ov7725_1.0 │ │ │ │ ├── cam_ov7670_ov7725.v │ │ │ │ ├── component.xml │ │ │ │ └── xgui │ │ │ │ │ └── cam_ov7670_ov7725_v1_0.tcl │ │ │ │ ├── XUP_debounce_1.0.zip │ │ │ │ ├── XUP_debounce_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── sim_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── debounce_tb.v │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── debounce.v │ │ │ │ └── xgui │ │ │ │ │ └── debounce_v1_0.tcl │ │ │ │ ├── XUP_move_en_1.0.zip │ │ │ │ ├── XUP_move_en_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── move_en.v │ │ │ │ └── xgui │ │ │ │ │ └── move_en_v1_0.tcl │ │ │ │ ├── XUP_ov7725_regData_1.0.zip │ │ │ │ ├── XUP_ov7725_regData_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── ov7725_regData.v │ │ │ │ └── xgui │ │ │ │ │ └── ov7725_regData_v1_0.tcl │ │ │ │ ├── XUP_ram_read_1.0.zip │ │ │ │ ├── XUP_ram_read_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── ram_read.v │ │ │ │ └── xgui │ │ │ │ │ └── ram_read_v1_0.tcl │ │ │ │ ├── XUP_region_cut_1.0.zip │ │ │ │ ├── XUP_region_cut_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── region_cut.v │ │ │ │ └── xgui │ │ │ │ │ └── region_cut_v1_0.tcl │ │ │ │ ├── XUP_servo_ctrl_1.0.zip │ │ │ │ ├── XUP_servo_ctrl_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── imports │ │ │ │ │ └── servo_ip │ │ │ │ │ │ ├── pwm_gen_x.v │ │ │ │ │ │ └── pwm_gen_y.v │ │ │ │ ├── new │ │ │ │ │ └── servo_ctrl.v │ │ │ │ └── xgui │ │ │ │ │ └── servo_ctrl_v1_0.tcl │ │ │ │ ├── XUP_vga_1.0.zip │ │ │ │ ├── XUP_vga_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── vga.v │ │ │ │ └── xgui │ │ │ │ │ └── vga_v1_0.tcl │ │ │ │ ├── XUP_xadc_1.0.zip │ │ │ │ ├── XUP_xadc_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── ip │ │ │ │ │ └── xadc_wiz_0 │ │ │ │ │ │ └── xadc_wiz_0.xci │ │ │ │ ├── new │ │ │ │ │ └── xadc.v │ │ │ │ └── xgui │ │ │ │ │ └── xadc_v1_0.tcl │ │ │ │ ├── xilinx.com_user_two2one_mux_1.1.zip │ │ │ │ ├── xilinx.com_user_two2one_mux_1.1 │ │ │ │ ├── component.xml │ │ │ │ ├── sources_1 │ │ │ │ │ └── new │ │ │ │ │ │ └── test.v │ │ │ │ └── xgui │ │ │ │ │ └── two2one_mux_v1_1.tcl │ │ │ │ ├── xilinx_com_hls_sobel_filter_1_0.zip │ │ │ │ ├── xilinx_com_hls_sobel_filter_1_0 │ │ │ │ ├── component.xml │ │ │ │ ├── constraints │ │ │ │ │ └── sobel_filter_ooc.xdc │ │ │ │ ├── doc │ │ │ │ │ └── ReleaseNotes.txt │ │ │ │ ├── hdl │ │ │ │ │ ├── verilog │ │ │ │ │ │ ├── sobel_filter.v │ │ │ │ │ │ ├── sobel_filter_buff_A_M_0.v │ │ │ │ │ │ └── sobel_filter_buff_A_M_1.v │ │ │ │ │ └── vhdl │ │ │ │ │ │ ├── sobel_filter.vhd │ │ │ │ │ │ ├── sobel_filter_buff_A_M_0.vhd │ │ │ │ │ │ └── sobel_filter_buff_A_M_1.vhd │ │ │ │ ├── misc │ │ │ │ │ └── logo.png │ │ │ │ └── xgui │ │ │ │ │ └── sobel_filter_v1_0.tcl │ │ │ │ ├── xup_rgb2hsv_top_1.0.zip │ │ │ │ └── xup_rgb2hsv_top_1.0 │ │ │ │ ├── component.xml │ │ │ │ ├── rgb2hsv_top.v │ │ │ │ └── xgui │ │ │ │ └── rgb2hsv_top_v1_0.tcl │ │ └── Tcl │ │ │ ├── Basys3_BallTrack.tcl │ │ │ └── design_1.tcl │ └── readme.txt │ └── sobel_hls │ ├── ap_axi_sdata.h │ ├── ap_bmp.cpp │ ├── ap_bmp.h │ ├── ap_interfaces.h │ ├── ap_video.h │ ├── readme.txt │ ├── result_1080p_golden.bmp │ ├── sobel.cpp │ ├── sobel.h │ ├── sobel.tcl │ ├── sobel_rd_prj │ ├── .apc │ │ └── autopilot.apfmapping │ ├── .cproject │ ├── .project │ ├── .settings │ │ ├── sobel_rd_prj.Debug.launch │ │ └── sobel_rd_prj.Release.launch │ ├── .vivado_hls_log.xml │ ├── .vivado_hls_log_all.xml │ ├── result_1080p_golden.bmp │ ├── solution3 │ │ ├── .autopilot │ │ │ ├── .automg_exit │ │ │ ├── .autopilot_exit │ │ │ └── db │ │ │ │ ├── __ctype_info__.xml │ │ │ │ ├── a.export.ll │ │ │ │ ├── a.g │ │ │ │ ├── a.g.0 │ │ │ │ ├── a.g.0.bc │ │ │ │ ├── a.g.1.bc │ │ │ │ ├── a.g.1.ll │ │ │ │ ├── a.g.2.bc │ │ │ │ ├── a.g.2.prechk.bc │ │ │ │ ├── a.g.bc │ │ │ │ ├── a.g.pre │ │ │ │ ├── a.g.pre.bc │ │ │ │ ├── a.o │ │ │ │ ├── a.o.1.bc │ │ │ │ ├── a.o.1.ll │ │ │ │ ├── a.o.1.tmp.bc │ │ │ │ ├── a.o.2.bc │ │ │ │ ├── a.o.2.ll │ │ │ │ ├── a.o.3.bc │ │ │ │ ├── a.o.3.ll │ │ │ │ ├── a.o.bc │ │ │ │ ├── a.o.pre │ │ │ │ ├── a.o.pre.bc │ │ │ │ ├── a.pp.0.bc │ │ │ │ ├── a.pp.bc │ │ │ │ ├── autopilot.flow.log │ │ │ │ ├── autopilot.rtl.models.txt │ │ │ │ ├── global.setting.tcl │ │ │ │ ├── hls_design_meta.cpp │ │ │ │ ├── hls_design_meta.h │ │ │ │ ├── hls_design_meta.tcl │ │ │ │ ├── pragma.0.directive │ │ │ │ ├── pragma.status.tcl │ │ │ │ ├── sobel.bc │ │ │ │ ├── sobel.g.bc │ │ │ │ ├── sobel.pp.0.cpp │ │ │ │ ├── sobel.pp.0.cpp.ap-cdt.cpp │ │ │ │ ├── sobel.pp.0.cpp.ap-line.cpp │ │ │ │ ├── sobel.pp.0.cpp.ap-line.cpp.CXX │ │ │ │ ├── sobel.pp.00.o │ │ │ │ ├── sobel.pragma.0.cpp │ │ │ │ ├── sobel.pragma.0.cpp.ap-line.CXX │ │ │ │ ├── sobel.pragma.1.cpp │ │ │ │ ├── sobel.pragma.2.cpp │ │ │ │ ├── sobel_filter.adb │ │ │ │ ├── sobel_filter.adb.xml │ │ │ │ ├── sobel_filter.bind.adb │ │ │ │ ├── sobel_filter.bind.adb.xml │ │ │ │ ├── sobel_filter.compgen.tcl │ │ │ │ ├── sobel_filter.constraint.tcl │ │ │ │ ├── sobel_filter.design.xml │ │ │ │ ├── sobel_filter.rtl_wrap.cfg.tcl │ │ │ │ ├── sobel_filter.sched.adb │ │ │ │ ├── sobel_filter.sched.adb.xml │ │ │ │ ├── sobel_filter.sdaccel.xml │ │ │ │ ├── sobel_filter.tbgen.tcl │ │ │ │ ├── sobel_filter.verbose.bind.rpt │ │ │ │ ├── sobel_filter.verbose.bind.rpt.xml │ │ │ │ ├── sobel_filter.verbose.rpt │ │ │ │ ├── sobel_filter.verbose.rpt.xml │ │ │ │ ├── sobel_filter.verbose.sched.rpt │ │ │ │ ├── sobel_filter.verbose.sched.rpt.xml │ │ │ │ ├── sobel_filterap_header.systemc.txt.ap_header.txt │ │ │ │ ├── sobel_filterap_header.verilog.txt.ap_header.txt │ │ │ │ ├── sobel_filterap_header.vhdl.txt.ap_header.txt │ │ │ │ └── ve_warning.tcl │ │ ├── csim │ │ │ ├── .lst_opt.tcl │ │ │ ├── build │ │ │ │ ├── Makefile.rules │ │ │ │ ├── csim.exe │ │ │ │ ├── csim.mk │ │ │ │ ├── obj │ │ │ │ │ ├── .dir │ │ │ │ │ ├── ap_bmp.d │ │ │ │ │ ├── ap_bmp.o │ │ │ │ │ ├── sobel.d │ │ │ │ │ ├── sobel.o │ │ │ │ │ ├── sobel_test.d │ │ │ │ │ └── sobel_test.o │ │ │ │ ├── result_1080p.bmp │ │ │ │ ├── result_1080p_golden.bmp │ │ │ │ ├── run_sim.tcl │ │ │ │ ├── sim.bat │ │ │ │ └── test_1080p.bmp │ │ │ └── report │ │ │ │ └── sobel_filter_csim.log │ │ ├── directives.tcl │ │ ├── impl │ │ │ ├── ip │ │ │ │ ├── autoimpl.log │ │ │ │ ├── auxiliary.xml │ │ │ │ ├── component.xml │ │ │ │ ├── constraints │ │ │ │ │ └── sobel_filter_ooc.xdc │ │ │ │ ├── doc │ │ │ │ │ └── ReleaseNotes.txt │ │ │ │ ├── example │ │ │ │ │ ├── ipi_example.bat │ │ │ │ │ └── ipi_example.tcl │ │ │ │ ├── hdl │ │ │ │ │ ├── verilog │ │ │ │ │ │ ├── sobel_filter.v │ │ │ │ │ │ ├── sobel_filter_buff_A_M_0.v │ │ │ │ │ │ └── sobel_filter_buff_A_M_1.v │ │ │ │ │ └── vhdl │ │ │ │ │ │ ├── sobel_filter.vhd │ │ │ │ │ │ ├── sobel_filter_buff_A_M_0.vhd │ │ │ │ │ │ └── sobel_filter_buff_A_M_1.vhd │ │ │ │ ├── misc │ │ │ │ │ └── logo.png │ │ │ │ ├── pack.bat │ │ │ │ ├── run_ippack.tcl │ │ │ │ ├── vivado.jou │ │ │ │ ├── vivado.log │ │ │ │ ├── xgui │ │ │ │ │ └── sobel_filter_v1_0.tcl │ │ │ │ └── xilinx_com_hls_sobel_filter_1_0.zip │ │ │ ├── verilog │ │ │ │ ├── extraction.tcl │ │ │ │ ├── impl.bat │ │ │ │ ├── project.cache │ │ │ │ │ └── wt │ │ │ │ │ │ └── project.wpc │ │ │ │ ├── project.hw │ │ │ │ │ └── project.lpr │ │ │ │ ├── project.xpr │ │ │ │ ├── run_vivado.tcl │ │ │ │ ├── settings.tcl │ │ │ │ ├── sobel_filter.v │ │ │ │ ├── sobel_filter.xdc │ │ │ │ ├── sobel_filter_buff_A_M_0.v │ │ │ │ └── sobel_filter_buff_A_M_1.v │ │ │ └── vhdl │ │ │ │ ├── extraction.tcl │ │ │ │ ├── impl.bat │ │ │ │ ├── project.cache │ │ │ │ └── wt │ │ │ │ │ └── project.wpc │ │ │ │ ├── project.hw │ │ │ │ └── project.lpr │ │ │ │ ├── project.xpr │ │ │ │ ├── run_vivado.tcl │ │ │ │ ├── settings.tcl │ │ │ │ ├── sobel_filter.vhd │ │ │ │ ├── sobel_filter.xdc │ │ │ │ ├── sobel_filter_buff_A_M_0.vhd │ │ │ │ └── sobel_filter_buff_A_M_1.vhd │ │ ├── script.tcl │ │ ├── solution3.aps │ │ ├── solution3.directive │ │ ├── solution3.log │ │ └── syn │ │ │ ├── report │ │ │ ├── sobel_filter_csynth.rpt │ │ │ └── sobel_filter_csynth.xml │ │ │ ├── systemc │ │ │ ├── sobel_filter.cpp │ │ │ ├── sobel_filter.h │ │ │ ├── sobel_filter_buff_A_M_0.h │ │ │ └── sobel_filter_buff_A_M_1.h │ │ │ ├── verilog │ │ │ ├── sobel_filter.v │ │ │ ├── sobel_filter_buff_A_M_0.v │ │ │ └── sobel_filter_buff_A_M_1.v │ │ │ └── vhdl │ │ │ ├── sobel_filter.vhd │ │ │ ├── sobel_filter_buff_A_M_0.vhd │ │ │ └── sobel_filter_buff_A_M_1.vhd │ ├── test_1080p.bmp │ └── vivado_hls.app │ ├── sobel_test.cpp │ ├── test_1080p.bmp │ └── vivado_hls.log └── readme.txt /.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS00/XUP_74LS00_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS00/XUP_74LS00_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS00/four_2_input_nand_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS00/four_2_input_nand_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS02/XUP_74LS02_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS02/XUP_74LS02_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS02/four_2_input_nor_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS02/four_2_input_nor_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS04/XUP_74LS04_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS04/XUP_74LS04_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS04/six_not_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS04/six_not_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS05/XUP_74LS05_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS05/XUP_74LS05_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS05/inv_6bits_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS05/inv_6bits_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS08/XUP_74LS08_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS08/XUP_74LS08_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS08/four_2_input_and_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS08/four_2_input_and_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS10/XUP_74LS10_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS10/XUP_74LS10_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS10/tri_3_input_nand_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS10/tri_3_input_nand_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS11/XUP_74LS11_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS11/XUP_74LS11_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS11/tri_3_input_and_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS11/tri_3_input_and_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS138/XUP_74LS138_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS138/XUP_74LS138_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS138/decode138_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS138/decode138_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS148/XUP_74LS148_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS148/XUP_74LS148_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS148/encoder_8_to_3_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS148/encoder_8_to_3_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS151/XUP_74LS151_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS151/XUP_74LS151_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS151/mux_8_to_1_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS151/mux_8_to_1_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS153/XUP_74LS153_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS153/XUP_74LS153_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS153/two_mux_4_to_1_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS153/two_mux_4_to_1_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS164/XUP_74LS164_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS164/XUP_74LS164_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS164/shift_register_8bit_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS164/shift_register_8bit_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS185/XUP_74LS185_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS185/XUP_74LS185_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS185/bin2BCD_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS185/bin2BCD_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS192/Syn_Up_Down_Decade_Counter_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS192/Syn_Up_Down_Decade_Counter_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS192/XUP_74LS192_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS192/XUP_74LS192_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS20/XUP_74LS20_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS20/XUP_74LS20_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS20/two_4_input_nand_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS20/two_4_input_nand_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS21/XUP_74LS21_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS21/XUP_74LS21_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS21/two_4_input_and_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS21/two_4_input_and_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS27/XUP_74LS27_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS27/XUP_74LS27_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS27/tri_3_input_nor_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS27/tri_3_input_nor_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS30/XUP_74LS30_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS30/XUP_74LS30_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS30/one_8_input_nand_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS30/one_8_input_nand_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS32/XUP_74LS32_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS32/XUP_74LS32_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS32/four_2_input_or_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS32/four_2_input_or_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS42/BCD_Decimal_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS42/BCD_Decimal_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS42/XUP_74LS42_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS42/XUP_74LS42_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS48/BCD2SEG7_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS48/BCD2SEG7_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS48/XUP_74LS48_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS48/XUP_74LS48_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS74/D_FlipFlop_Set_Reset_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS74/D_FlipFlop_Set_Reset_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS74/XUP_74LS74_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS74/XUP_74LS74_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS83/XUP_74LS83_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS83/XUP_74LS83_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS83/binary_full_adder_4bits_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS83/binary_full_adder_4bits_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS85/XUP_74LS85_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS85/XUP_74LS85_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS85/magnitude_comparator_4bits_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS85/magnitude_comparator_4bits_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS86/XUP_74LS86_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS86/XUP_74LS86_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS86/four_2_input_xor_gate_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS86/four_2_input_xor_gate_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS90/XUP_74LS90_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS90/XUP_74LS90_1.0.zip -------------------------------------------------------------------------------- /Library/74LSXX_Lib/74LS90/decimal_counter_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/74LS90/decimal_counter_tb.v -------------------------------------------------------------------------------- /Library/74LSXX_Lib/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/74LSXX_Lib/readme.txt -------------------------------------------------------------------------------- /Library/Interface/Debounce/File_tb/debounce_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Debounce/File_tb/debounce_tb.v -------------------------------------------------------------------------------- /Library/Interface/Debounce/XUP_debounce_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Debounce/XUP_debounce_1.0.zip -------------------------------------------------------------------------------- /Library/Interface/Debounce/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Debounce/readme.txt -------------------------------------------------------------------------------- /Library/Interface/IIC/File_tb/iic_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/IIC/File_tb/iic_tb.v -------------------------------------------------------------------------------- /Library/Interface/IIC/XUP_IICctrl_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/IIC/XUP_IICctrl_1.0.zip -------------------------------------------------------------------------------- /Library/Interface/IIC/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/IIC/readme.txt -------------------------------------------------------------------------------- /Library/Interface/PWM_generator/File_tb/pwm_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/PWM_generator/File_tb/pwm_tb.v -------------------------------------------------------------------------------- /Library/Interface/PWM_generator/XUP_PWM_gen_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/PWM_generator/XUP_PWM_gen_1.0.zip -------------------------------------------------------------------------------- /Library/Interface/PWM_generator/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/PWM_generator/readme.txt -------------------------------------------------------------------------------- /Library/Interface/SPI_IP/SPI.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/SPI_IP/SPI.tcl -------------------------------------------------------------------------------- /Library/Interface/SPI_IP/XUP_SPI_master_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/SPI_IP/XUP_SPI_master_1.0.zip -------------------------------------------------------------------------------- /Library/Interface/SPI_IP/src/source/spi_master.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/SPI_IP/src/source/spi_master.v -------------------------------------------------------------------------------- /Library/Interface/Seg7decimal/File_tb/seg7decimal_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Seg7decimal/File_tb/seg7decimal_tb.v -------------------------------------------------------------------------------- /Library/Interface/Seg7decimal/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Seg7decimal/readme.txt -------------------------------------------------------------------------------- /Library/Interface/Seg7decimal/xup_seg7decimal_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Seg7decimal/xup_seg7decimal_1.0.zip -------------------------------------------------------------------------------- /Library/Interface/Sensors/ambient_light/ambient_light.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/ambient_light/ambient_light.tcl -------------------------------------------------------------------------------- /Library/Interface/Sensors/ambient_light/src/constraint/xadc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/ambient_light/src/constraint/xadc.xdc -------------------------------------------------------------------------------- /Library/Interface/Sensors/ambient_light/src/source/clk_wiz_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/ambient_light/src/source/clk_wiz_0.xci -------------------------------------------------------------------------------- /Library/Interface/Sensors/ambient_light/src/source/seg_display.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/ambient_light/src/source/seg_display.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/ambient_light/src/source/ug480.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/ambient_light/src/source/ug480.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/ambient_light/src/source/xadc_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/ambient_light/src/source/xadc_top.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/rotation/rotation.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/rotation/rotation.tcl -------------------------------------------------------------------------------- /Library/Interface/Sensors/rotation/src/constraint/xadc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/rotation/src/constraint/xadc.xdc -------------------------------------------------------------------------------- /Library/Interface/Sensors/rotation/src/source/clk_wiz_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/rotation/src/source/clk_wiz_0.xci -------------------------------------------------------------------------------- /Library/Interface/Sensors/rotation/src/source/seg_display.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/rotation/src/source/seg_display.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/rotation/src/source/ug480.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/rotation/src/source/ug480.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/rotation/src/source/xadc_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/rotation/src/source/xadc_top.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/sound/sound.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/sound/sound.tcl -------------------------------------------------------------------------------- /Library/Interface/Sensors/sound/src/constraint/xadc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/sound/src/constraint/xadc.xdc -------------------------------------------------------------------------------- /Library/Interface/Sensors/sound/src/source/clk_wiz_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/sound/src/source/clk_wiz_0.xci -------------------------------------------------------------------------------- /Library/Interface/Sensors/sound/src/source/seg_display.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/sound/src/source/seg_display.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/sound/src/source/ug480.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/sound/src/source/ug480.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/sound/src/source/xadc_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/sound/src/source/xadc_top.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/vibration/src/constraint/xadc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/vibration/src/constraint/xadc.xdc -------------------------------------------------------------------------------- /Library/Interface/Sensors/vibration/src/source/clk_wiz_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/vibration/src/source/clk_wiz_0.xci -------------------------------------------------------------------------------- /Library/Interface/Sensors/vibration/src/source/seg_display.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/vibration/src/source/seg_display.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/vibration/src/source/ug480.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/vibration/src/source/ug480.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/vibration/src/source/xadc_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/vibration/src/source/xadc_top.v -------------------------------------------------------------------------------- /Library/Interface/Sensors/vibration/vibration.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/Sensors/vibration/vibration.tcl -------------------------------------------------------------------------------- /Library/Interface/UART_IP/XUP_UART_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/UART_IP/XUP_UART_1.0.zip -------------------------------------------------------------------------------- /Library/Interface/UART_IP/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/UART_IP/readme.txt -------------------------------------------------------------------------------- /Library/Interface/UART_IP/uart_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/UART_IP/uart_tb.v -------------------------------------------------------------------------------- /Library/Interface/USB_PS2/Misc/Keyboard_Code_Table.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/USB_PS2/Misc/Keyboard_Code_Table.png -------------------------------------------------------------------------------- /Library/Interface/USB_PS2/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/USB_PS2/readme.txt -------------------------------------------------------------------------------- /Library/Interface/USB_PS2/xup_ps2_keyboard_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/USB_PS2/xup_ps2_keyboard_1.0.zip -------------------------------------------------------------------------------- /Library/Interface/VGA/File_tb/vga_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/VGA/File_tb/vga_tb.v -------------------------------------------------------------------------------- /Library/Interface/VGA/XUP_vga_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/VGA/XUP_vga_1.0.zip -------------------------------------------------------------------------------- /Library/Interface/VGA/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/VGA/readme.txt -------------------------------------------------------------------------------- /Library/Interface/XADC/XUP_xadc_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/XADC/XUP_xadc_1.0.zip -------------------------------------------------------------------------------- /Library/Interface/XADC/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/Interface/XADC/readme.txt -------------------------------------------------------------------------------- /Library/PMOD/BlueTooth_IP/XUP_BlueTooth_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/BlueTooth_IP/XUP_BlueTooth_1.0.zip -------------------------------------------------------------------------------- /Library/PMOD/BlueTooth_IP/bluetooth_tb.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/BlueTooth_IP/bluetooth_tb.v -------------------------------------------------------------------------------- /Library/PMOD/BlueTooth_IP/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/BlueTooth_IP/readme.txt -------------------------------------------------------------------------------- /Library/PMOD/Camera/XUP_cam_ov7670_ov7725_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/Camera/XUP_cam_ov7670_ov7725_1.0.zip -------------------------------------------------------------------------------- /Library/PMOD/Camera/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/Camera/readme.txt -------------------------------------------------------------------------------- /Library/PMOD/OLED/Ready_for_download/oled_top.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/OLED/Ready_for_download/oled_top.bit -------------------------------------------------------------------------------- /Library/PMOD/OLED/Src/Constraint/PIN.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/OLED/Src/Constraint/PIN.xdc -------------------------------------------------------------------------------- /Library/PMOD/OLED/Src/HDL_source/oled.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/OLED/Src/HDL_source/oled.v -------------------------------------------------------------------------------- /Library/PMOD/OLED/Src/HDL_source/oled_clear.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/OLED/Src/HDL_source/oled_clear.v -------------------------------------------------------------------------------- /Library/PMOD/OLED/Src/HDL_source/oled_top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/OLED/Src/HDL_source/oled_top.v -------------------------------------------------------------------------------- /Library/PMOD/OLED/Src/HDL_source/oled_write_data.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/OLED/Src/HDL_source/oled_write_data.v -------------------------------------------------------------------------------- /Library/PMOD/OLED/Src/HDL_source/spi_master.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/OLED/Src/HDL_source/spi_master.v -------------------------------------------------------------------------------- /Library/PMOD/OLED/Src/Tcl/OLED.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/OLED/Src/Tcl/OLED.tcl -------------------------------------------------------------------------------- /Library/PMOD/OLED/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/PMOD/OLED/readme.txt -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_1.0/xup_mux_2_to_1.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_1.0/xup_mux_2_to_1.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_1.0/xup_mux_2_to_1.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_vector_1.0/xup_2_to_1_mux_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_vector_1.0/xup_2_to_1_mux_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_2_to_1_mux_vector_1.0/xup_2_to_1_mux_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_1.0/xup_4_to_1_mux.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_1.0/xup_4_to_1_mux.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_1.0/xup_4_to_1_mux.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_vector_1.0/xup_4_to_1_mux_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_vector_1.0/xup_4_to_1_mux_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_4_to_1_mux_vector_1.0/xup_4_to_1_mux_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and2_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and2_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and2_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and2_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and2_1.0/xgui/xup_and2_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and2_1.0/xgui/xup_and2_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and2_1.0/xup_and2.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and2_1.0/xup_and2.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and2_1.0/xup_and2.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and3_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and3_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and3_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and3_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and3_1.0/xgui/xup_and3_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and3_1.0/xgui/xup_and3_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and3_1.0/xup_and3.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and3_1.0/xup_and3.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and3_1.0/xup_and3.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and4_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and4_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and4_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and4_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and4_1.0/xgui/xup_and4_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and4_1.0/xgui/xup_and4_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and4_1.0/xup_and4.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and4_1.0/xup_and4.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and4_1.0/xup_and4.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and5_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and5_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and5_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and5_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and5_1.0/xgui/xup_and5_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and5_1.0/xgui/xup_and5_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and5_1.0/xup_and5.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and5_1.0/xup_and5.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and5_1.0/xup_and5.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and6_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and6_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and6_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and6_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and6_1.0/xgui/xup_and6_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and6_1.0/xgui/xup_and6_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and6_1.0/xup_and6.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and6_1.0/xup_and6.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and6_1.0/xup_and6.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_and_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and_vector_1.0/xup_and_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and_vector_1.0/xup_and_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_and_vector_1.0/xup_and_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_clk_divider_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_clk_divider_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_clk_divider_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_clk_divider_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_clk_divider_1.0/xup_clk_divider.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_clk_divider_1.0/xup_clk_divider.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_clk_divider_1.0/xup_clk_divider.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_dff_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_1.0/xgui/xup_dff_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_dff_1.0/xgui/xup_dff_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_1.0/xup_dff.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_1.0/xup_dff.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_1.0/xup_dff.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_dff_en_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_1.0/xup_dff_en.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_1.0/xup_dff_en.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_1.0/xup_dff_en.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_1.0/xup_dff_en_reset.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_1.0/xup_dff_en_reset.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_1.0/xup_dff_en_reset.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_vector_1.0/xup_dff_en_reset_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_vector_1.0/xup_dff_en_reset_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_reset_vector_1.0/xup_dff_en_reset_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_dff_en_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_vector_1.0/xup_dff_en_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_vector_1.0/xup_dff_en_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_en_vector_1.0/xup_dff_en_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_dff_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_vector_1.0/xup_dff_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_vector_1.0/xup_dff_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_dff_vector_1.0/xup_dff_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_inv_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_1.0/xgui/xup_inv_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_inv_1.0/xgui/xup_inv_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_1.0/xup_inv.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_1.0/xup_inv.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_1.0/xup_inv.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_inv_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_vector_1.0/xup_inv_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_vector_1.0/xup_inv_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_inv_vector_1.0/xup_inv_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand2_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand2_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand2_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand2_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand2_1.0/xgui/xup_nand2_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand2_1.0/xgui/xup_nand2_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand2_1.0/xup_nand2.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand2_1.0/xup_nand2.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand2_1.0/xup_nand2.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand3_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand3_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand3_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand3_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand3_1.0/xgui/xup_nand3_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand3_1.0/xgui/xup_nand3_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand3_1.0/xup_nand3.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand3_1.0/xup_nand3.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand3_1.0/xup_nand3.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand4_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand4_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand4_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand4_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand4_1.0/xgui/xup_nand4_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand4_1.0/xgui/xup_nand4_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand4_1.0/xup_nand4.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand4_1.0/xup_nand4.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand4_1.0/xup_nand4.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand5_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand5_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand5_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand5_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand5_1.0/xgui/xup_nand5_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand5_1.0/xgui/xup_nand5_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand5_1.0/xup_nand5.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand5_1.0/xup_nand5.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand5_1.0/xup_nand5.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand6_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand6_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand6_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand6_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand6_1.0/xgui/xup_nand6_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand6_1.0/xgui/xup_nand6_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand6_1.0/xup_nand6.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand6_1.0/xup_nand6.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand6_1.0/xup_nand6.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nand_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand_vector_1.0/xup_nand_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand_vector_1.0/xup_nand_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nand_vector_1.0/xup_nand_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor2_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor2_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor2_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor2_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor2_1.0/xgui/xup_nor2_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor2_1.0/xgui/xup_nor2_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor2_1.0/xup_nor2.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor2_1.0/xup_nor2.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor2_1.0/xup_nor2.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor3_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor3_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor3_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor3_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor3_1.0/xgui/xup_nor3_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor3_1.0/xgui/xup_nor3_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor3_1.0/xup_nor3.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor3_1.0/xup_nor3.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor3_1.0/xup_nor3.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor4_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor4_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor4_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor4_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor4_1.0/xgui/xup_nor4_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor4_1.0/xgui/xup_nor4_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor4_1.0/xup_nor4.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor4_1.0/xup_nor4.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor4_1.0/xup_nor4.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor5_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor5_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor5_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor5_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor5_1.0/xgui/xup_nor5_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor5_1.0/xgui/xup_nor5_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor5_1.0/xup_nor5.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor5_1.0/xup_nor5.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor5_1.0/xup_nor5.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor6_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor6_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor6_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor6_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor6_1.0/xgui/xup_nor6_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor6_1.0/xgui/xup_nor6_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor6_1.0/xup_nor6.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor6_1.0/xup_nor6.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor6_1.0/xup_nor6.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_nor_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor_vector_1.0/xup_nor_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor_vector_1.0/xup_nor_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_nor_vector_1.0/xup_nor_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or2_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or2_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or2_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or2_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or2_1.0/xgui/xup_or2_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or2_1.0/xgui/xup_or2_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or2_1.0/xup_or2.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or2_1.0/xup_or2.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or2_1.0/xup_or2.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or3_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or3_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or3_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or3_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or3_1.0/xgui/xup_or3_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or3_1.0/xgui/xup_or3_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or3_1.0/xup_or3.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or3_1.0/xup_or3.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or3_1.0/xup_or3.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or4_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or4_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or4_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or4_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or4_1.0/xgui/xup_or4_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or4_1.0/xgui/xup_or4_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or4_1.0/xup_or4.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or4_1.0/xup_or4.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or4_1.0/xup_or4.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or5_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or5_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or5_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or5_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or5_1.0/xgui/xup_or5_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or5_1.0/xgui/xup_or5_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or5_1.0/xup_or5.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or5_1.0/xup_or5.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or5_1.0/xup_or5.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or6_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or6_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or6_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or6_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or6_1.0/xgui/xup_or6_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or6_1.0/xgui/xup_or6_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or6_1.0/xup_or6.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or6_1.0/xup_or6.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or6_1.0/xup_or6.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_or_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or_vector_1.0/xup_or_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or_vector_1.0/xup_or_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_or_vector_1.0/xup_or_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_ram_8x4_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_ram_8x4_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_ram_8x4_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_ram_8x4_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_ram_8x4_1.0/xup_ram_8x4.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_ram_8x4_1.0/xup_ram_8x4.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_ram_8x4_1.0/xup_ram_8x4.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_rom_8x4_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_rom_8x4_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_rom_8x4_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_rom_8x4_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_rom_8x4_1.0/xup_rom_8x4.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_rom_8x4_1.0/xup_rom_8x4.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_rom_8x4_1.0/xup_rom_8x4.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_tff_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_1.0/xgui/xup_tff_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_tff_1.0/xgui/xup_tff_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_1.0/xup_tff.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_1.0/xup_tff.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_1.0/xup_tff.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_tff_en_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_1.0/xup_tff_en.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_1.0/xup_tff_en.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_1.0/xup_tff_en.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_reset_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_reset_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_reset_vector_1.0/xup_tff_en_reset_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_reset_vector_1.0/xup_tff_en_reset_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_reset_vector_1.0/xup_tff_en_reset_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_tff_en_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_vector_1.0/xup_tff_en_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_vector_1.0/xup_tff_en_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_en_vector_1.0/xup_tff_en_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_tff_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_vector_1.0/xup_tff_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_vector_1.0/xup_tff_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tff_vector_1.0/xup_tff_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_1.0/xup_tri_buf0.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_1.0/xup_tri_buf0.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_1.0/xup_tri_buf0.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_vector_1.0/xup_tri_buf_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_vector_1.0/xup_tri_buf_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf0_vector_1.0/xup_tri_buf_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_1.0/xup_tri_buf1.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_1.0/xup_tri_buf1.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_1.0/xup_tri_buf1.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_vector_1.0/xup_tri_buf1_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_vector_1.0/xup_tri_buf1_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_tri_buf1_vector_1.0/xup_tri_buf1_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor2_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor2_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_xnor2_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor2_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor2_1.0/xgui/xup_xnor2_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_xnor2_1.0/xgui/xup_xnor2_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor2_1.0/xup_xnor2.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor2_1.0/xup_xnor2.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor2_1.0/xup_xnor2.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_xnor_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor_vector_1.0/xup_xnor_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor_vector_1.0/xup_xnor_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xnor_vector_1.0/xup_xnor_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor2_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor2_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_xor2_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor2_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor2_1.0/xgui/xup_xor2_v1_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_xor2_1.0/xgui/xup_xor2_v1_0.tcl -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor2_1.0/xup_xor2.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor2_1.0/xup_xor2.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor2_1.0/xup_xor2.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor_vector_1.0/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor_vector_1.0/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/XUP_Digital_Circuit_Lib/xup_xor_vector_1.0/component.xml -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor_vector_1.0/xgui/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor_vector_1.0/xup_xor_vector.srcs/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor_vector_1.0/xup_xor_vector.srcs/sources_1/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/XUP_Digital_Circuit_Lib/xup_xor_vector_1.0/xup_xor_vector.srcs/sources_1/new/.gitkeep: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Library/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Library/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Adder_full/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Adder_full/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Bin2BCD/Src/Constraint/Bin2BCD.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Bin2BCD/Src/Constraint/Bin2BCD.xdc -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Bin2BCD/Src/Tcl/Bin2BCD_Design.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Bin2BCD/Src/Tcl/Bin2BCD_Design.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Bin2BCD/Src/Tcl/bin_to_BCD.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Bin2BCD/Src/Tcl/bin_to_BCD.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Bin2BCD/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Bin2BCD/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Comparator/Src/Tcl/Comparator.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Comparator/Src/Tcl/Comparator.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Comparator/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Comparator/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Decoder/Src/Constraint/Decoder.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Decoder/Src/Constraint/Decoder.xdc -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Decoder/Src/Tcl/Decoder.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Decoder/Src/Tcl/Decoder.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Decoder/Src/Tcl/Decoder_Design.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Decoder/Src/Tcl/Decoder_Design.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Decoder/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Decoder/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Gray_Code_converter/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Gray_Code_converter/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/MUX/Src/Constraint/MUX.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/MUX/Src/Constraint/MUX.xdc -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/MUX/Src/Tcl/MUX_Design.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/MUX/Src/Tcl/MUX_Design.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/MUX/Src/Tcl/mux.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/MUX/Src/Tcl/mux.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/MUX/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/MUX/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Priority_Encoder/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Priority_Encoder/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/1_CN_Design/Seg7decimal_Design/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/1_CN_Design/Seg7decimal_Design/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Counter/Src/Constraint/Counter.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Counter/Src/Constraint/Counter.xdc -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Counter/Src/Tcl/Counter.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Counter/Src/Tcl/Counter.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Counter/Src/Tcl/Counter_Design.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Counter/Src/Tcl/Counter_Design.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Counter/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Counter/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Digilent_Abacus_Design/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Digilent_Abacus_Design/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Digital_Clock/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Digital_Clock/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Frequency_Divider/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Frequency_Divider/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Shifter/Src/Constraint/Shifter.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Shifter/Src/Constraint/Shifter.xdc -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Shifter/Src/Tcl/Shifter_Design.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Shifter/Src/Tcl/Shifter_Design.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Shifter/Src/Tcl/shifter.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Shifter/Src/Tcl/shifter.tcl -------------------------------------------------------------------------------- /Project/1_Logic_Design/2_SN_Design/Shifter/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/2_SN_Design/Shifter/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/Traffic_Light/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/3_State_Machine/Traffic_Light/readme.txt -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/Constraint/taxi.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/3_State_Machine/taxi/src/Constraint/taxi.xdc -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/HDL_source/bcd.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/3_State_Machine/taxi/src/HDL_source/bcd.v -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/HDL_source/taxi.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/3_State_Machine/taxi/src/HDL_source/taxi.v -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/HDL_source/top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/3_State_Machine/taxi/src/HDL_source/top.v -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.runs/impl_1/.Vivado_Implementation.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.runs/impl_1/.init_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.runs/impl_1/.opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.runs/impl_1/.place_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.runs/impl_1/.route_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.runs/impl_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.runs/impl_1/.write_bitstream.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/3_State_Machine/taxi/src/prj/taxi.xpr -------------------------------------------------------------------------------- /Project/1_Logic_Design/3_State_Machine/taxi/src/tcl/taxi.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/1_Logic_Design/3_State_Machine/taxi/src/tcl/taxi.tcl -------------------------------------------------------------------------------- /Project/2_Control_and_Measurement/Ball_Tracking/Src/Tcl/design_1.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/2_Control_and_Measurement/Ball_Tracking/Src/Tcl/design_1.tcl -------------------------------------------------------------------------------- /Project/2_Control_and_Measurement/Ball_Tracking/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/2_Control_and_Measurement/Ball_Tracking/readme.txt -------------------------------------------------------------------------------- /Project/2_Control_and_Measurement/Oscilloscope/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/2_Control_and_Measurement/Oscilloscope/readme.txt -------------------------------------------------------------------------------- /Project/2_Control_and_Measurement/Signal_Generator/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/2_Control_and_Measurement/Signal_Generator/readme.txt -------------------------------------------------------------------------------- /Project/3_Signal_Image_Video_Processing/Image_Binarization/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/3_Signal_Image_Video_Processing/Image_Binarization/readme.txt -------------------------------------------------------------------------------- /Project/3_Signal_Image_Video_Processing/Sobel_Filter/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/3_Signal_Image_Video_Processing/Sobel_Filter/readme.txt -------------------------------------------------------------------------------- /Project/4_Microblaze/HelloWorld/C_source/helloworld.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/HelloWorld/C_source/helloworld.c -------------------------------------------------------------------------------- /Project/4_Microblaze/HelloWorld/Constraint/B3_MB_uart.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/HelloWorld/Constraint/B3_MB_uart.xdc -------------------------------------------------------------------------------- /Project/4_Microblaze/HelloWorld/Tcl/MB_Uart.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/HelloWorld/Tcl/MB_Uart.tcl -------------------------------------------------------------------------------- /Project/4_Microblaze/HelloWorld/Tcl/design_1.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/HelloWorld/Tcl/design_1.tcl -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Ready_for_download/design_1_wrapper.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Ready_for_download/design_1_wrapper.bit -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/Constraint/OLED_Microblaze.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/Constraint/OLED_Microblaze.xdc -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/HDL_source/IP_Catalog/XUP_74LS08_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/HDL_source/IP_Catalog/XUP_74LS08_1.0.zip -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/HDL_source/IP_Catalog/xup_74LS90_1.0.zip: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/HDL_source/IP_Catalog/xup_74LS90_1.0.zip -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/Tcl/OLED.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/Tcl/OLED.tcl -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/Tcl/OLED_Design.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/Tcl/OLED_Design.tcl -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/gpio_header.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/gpio_header.h -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/intc_header.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/intc_header.h -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/lscript.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/lscript.ld -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/spi_header.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/spi_header.h -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/spi_intr_header.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/spi_intr_header.h -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/testperiph.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/testperiph.c -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/xgpio_tapp_example.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/xgpio_tapp_example.c -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/xil_oled.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/xil_oled.c -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/xil_oled.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/xil_oled.h -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/xintc_tapp_example.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/xintc_tapp_example.c -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/xspi_intr_example.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/xspi_intr_example.c -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/Src/sdk_code/xspi_selftest_example.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/Src/sdk_code/xspi_selftest_example.c -------------------------------------------------------------------------------- /Project/4_Microblaze/OLED/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/4_Microblaze/OLED/readme.txt -------------------------------------------------------------------------------- /Project/5_HLS/Ball_Tracking_with_hls_sobel/Src/Tcl/design_1.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/Ball_Tracking_with_hls_sobel/Src/Tcl/design_1.tcl -------------------------------------------------------------------------------- /Project/5_HLS/Ball_Tracking_with_hls_sobel/Src/Tcl/hs_err_pid26020.dmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/Ball_Tracking_with_hls_sobel/Src/Tcl/hs_err_pid26020.dmp -------------------------------------------------------------------------------- /Project/5_HLS/Ball_Tracking_with_hls_sobel/Src/Tcl/hs_err_pid26020.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/Ball_Tracking_with_hls_sobel/Src/Tcl/hs_err_pid26020.log -------------------------------------------------------------------------------- /Project/5_HLS/Ball_Tracking_with_hls_sobel/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/Ball_Tracking_with_hls_sobel/readme.txt -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/ap_axi_sdata.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/ap_axi_sdata.h -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/ap_bmp.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/ap_bmp.cpp -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/ap_bmp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/ap_bmp.h -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/ap_interfaces.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/ap_interfaces.h -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/ap_video.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/ap_video.h -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/readme.txt -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/result_1080p_golden.bmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/result_1080p_golden.bmp -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel.cpp -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel.h -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel.tcl -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/.apc/autopilot.apfmapping: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/.apc/autopilot.apfmapping -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/.cproject: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/.cproject -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/.project -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/.vivado_hls_log.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/.vivado_hls_log.xml -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/.vivado_hls_log_all.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/.vivado_hls_log_all.xml -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/result_1080p_golden.bmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/result_1080p_golden.bmp -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/.automg_exit: -------------------------------------------------------------------------------- 1 | Mon Apr 18 17:45:21 +0800 2016 2 | -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/.autopilot_exit: -------------------------------------------------------------------------------- 1 | 17:45:32 2 | 04/18/2016 3 | -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.0: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.0 -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.0.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.0.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.1.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.1.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.1.ll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.1.ll -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.2.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.2.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.pre: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.g.pre -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.1.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.1.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.1.ll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.1.ll -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.2.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.2.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.2.ll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.2.ll -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.3.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.3.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.3.ll: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.3.ll -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.pre: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.o.pre -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.pp.0.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.pp.0.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.pp.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/a.pp.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/sobel.bc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/sobel.bc -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/ve_warning.tcl: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/.lst_opt.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/.lst_opt.tcl -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/csim.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/csim.exe -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/csim.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/csim.mk -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/obj/.dir: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/obj/ap_bmp.d: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/obj/ap_bmp.d -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/obj/ap_bmp.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/obj/ap_bmp.o -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/obj/sobel.d: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/obj/sobel.d -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/obj/sobel.o: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/obj/sobel.o -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/run_sim.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/run_sim.tcl -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/sim.bat: -------------------------------------------------------------------------------- 1 | vivado_hls_bin.exe run_sim.tcl 2 | -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/directives.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/directives.tcl -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/autoimpl.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/autoimpl.log -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/auxiliary.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/auxiliary.xml -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/component.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/component.xml -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/misc/logo.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/misc/logo.png -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/pack.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/pack.bat -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/run_ippack.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/run_ippack.tcl -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/vivado.jou -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/vivado.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/ip/vivado.log -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/verilog/impl.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/verilog/impl.bat -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/vhdl/impl.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/vhdl/impl.bat -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/vhdl/project.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/vhdl/project.xpr -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/vhdl/settings.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/impl/vhdl/settings.tcl -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/script.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/script.tcl -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/solution3.aps: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/solution3.aps -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/solution3.directive: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/solution3.directive -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/solution3.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/solution3/solution3.log -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/test_1080p.bmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/test_1080p.bmp -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_rd_prj/vivado_hls.app: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_rd_prj/vivado_hls.app -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/sobel_test.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/sobel_test.cpp -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/test_1080p.bmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/test_1080p.bmp -------------------------------------------------------------------------------- /Project/5_HLS/sobel_hls/vivado_hls.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Project/5_HLS/sobel_hls/vivado_hls.log -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/1_CN_Design/Adder_full/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/1_CN_Design/Adder_full/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/1_CN_Design/Bin2BCD/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/1_CN_Design/Bin2BCD/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/1_CN_Design/Comparator/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/1_CN_Design/Comparator/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/1_CN_Design/Decoder/Src/Tcl/Decoder.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/1_CN_Design/Decoder/Src/Tcl/Decoder.tcl -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/1_CN_Design/Decoder/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/1_CN_Design/Decoder/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/1_CN_Design/MUX/Src/Constraint/MUX.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/1_CN_Design/MUX/Src/Constraint/MUX.xdc -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/1_CN_Design/MUX/Src/Tcl/MUX_Design.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/1_CN_Design/MUX/Src/Tcl/MUX_Design.tcl -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/1_CN_Design/MUX/Src/Tcl/mux.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/1_CN_Design/MUX/Src/Tcl/mux.tcl -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/1_CN_Design/MUX/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/1_CN_Design/MUX/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/1_CN_Design/Priority_Encoder/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/1_CN_Design/Priority_Encoder/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/2_SN_Design/Counter/Src/Tcl/Counter.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/2_SN_Design/Counter/Src/Tcl/Counter.tcl -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/2_SN_Design/Counter/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/2_SN_Design/Counter/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/2_SN_Design/Digital_Clock/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/2_SN_Design/Digital_Clock/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/2_SN_Design/Shifter/Src/Tcl/shifter.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/2_SN_Design/Shifter/Src/Tcl/shifter.tcl -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/2_SN_Design/Shifter/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/2_SN_Design/Shifter/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/3_State_Machine/Single_Cycle_32bits_processor/src/prj/MIPS_CPU.runs/impl_1/.Vivado_Implementation.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/3_State_Machine/Single_Cycle_32bits_processor/src/prj/MIPS_CPU.runs/impl_1/.init_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/3_State_Machine/Single_Cycle_32bits_processor/src/prj/MIPS_CPU.runs/impl_1/.opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/3_State_Machine/Single_Cycle_32bits_processor/src/prj/MIPS_CPU.runs/impl_1/.place_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/3_State_Machine/Single_Cycle_32bits_processor/src/prj/MIPS_CPU.runs/impl_1/.route_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/3_State_Machine/Single_Cycle_32bits_processor/src/prj/MIPS_CPU.runs/impl_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/3_State_Machine/Single_Cycle_32bits_processor/src/prj/MIPS_CPU.runs/impl_1/.write_bitstream.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/3_State_Machine/Single_Cycle_32bits_processor/src/prj/MIPS_CPU.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/3_State_Machine/Single_Cycle_32bits_processor/src/prj/MIPS_CPU.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/1_Logic_Design/3_State_Machine/taxi/src/tcl/taxi.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/1_Logic_Design/3_State_Machine/taxi/src/tcl/taxi.tcl -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Ball_Tracking/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/2_Control_and_Measurement/Ball_Tracking/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.ip_user_files/sim_scripts/clock/activehdl/clock.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.ip_user_files/sim_scripts/clock/modelsim/clock.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.ip_user_files/sim_scripts/clock/questa/clock.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.ip_user_files/sim_scripts/clock/riviera/clock.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.ip_user_files/sim_scripts/clock/vcs/simulate.do: -------------------------------------------------------------------------------- 1 | run 2 | quit 3 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/clock_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/clock_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/debounce_0_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/debounce_0_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/impl_1/.Vivado_Implementation.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/impl_1/.init_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/impl_1/.opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/impl_1/.place_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/impl_1/.route_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/impl_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/impl_1/.write_bitstream.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/vga_0_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/vga_0_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/xadc_0_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/xadc_0_synth_1/.Xil/xadc_0_propImpl.xdc: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/Src/prj/Oscilloscope.runs/xadc_0_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Oscilloscope/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/2_Control_and_Measurement/Oscilloscope/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/2_Control_and_Measurement/Signal_Generator/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/2_Control_and_Measurement/Signal_Generator/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/3_Signal_Image_Video_Processing/Sobel_Filter/Src/prj/Sobel_Filter.runs/impl_1/.Vivado_Implementation.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/3_Signal_Image_Video_Processing/Sobel_Filter/Src/prj/Sobel_Filter.runs/impl_1/.init_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/3_Signal_Image_Video_Processing/Sobel_Filter/Src/prj/Sobel_Filter.runs/impl_1/.opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/3_Signal_Image_Video_Processing/Sobel_Filter/Src/prj/Sobel_Filter.runs/impl_1/.place_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/3_Signal_Image_Video_Processing/Sobel_Filter/Src/prj/Sobel_Filter.runs/impl_1/.route_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/3_Signal_Image_Video_Processing/Sobel_Filter/Src/prj/Sobel_Filter.runs/impl_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/3_Signal_Image_Video_Processing/Sobel_Filter/Src/prj/Sobel_Filter.runs/impl_1/.write_bitstream.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/3_Signal_Image_Video_Processing/Sobel_Filter/Src/prj/Sobel_Filter.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/3_Signal_Image_Video_Processing/Sobel_Filter/Src/prj/Sobel_Filter.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/HelloWorld/C_source/helloworld.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/HelloWorld/C_source/helloworld.c -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/HelloWorld/Constraint/B3_MB_uart.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/HelloWorld/Constraint/B3_MB_uart.xdc -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/HelloWorld/Tcl/MB_Uart.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/HelloWorld/Tcl/MB_Uart.tcl -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/HelloWorld/Tcl/design_1.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/HelloWorld/Tcl/design_1.tcl -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/HelloWorld/prj/MB_Uart.cache/wt/synthesis.wdf: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/HelloWorld/prj/MB_Uart.hw/MB_Uart.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/HelloWorld/prj/MB_Uart.hw/MB_Uart.lpr -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/HelloWorld/prj/MB_Uart.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/HelloWorld/prj/MB_Uart.xpr -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/HelloWorld/prj/ip_upgrade.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/HelloWorld/prj/ip_upgrade.log -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.cache/ip/07063c77/i_ila.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.cache/ip/07063c77/i_ila.dcp -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.cache/ip/83b65077/i_ila.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.cache/ip/83b65077/i_ila.dcp -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.cache/wt/project.wpc -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.cache/wt/synthesis.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.cache/wt/synthesis.wdf -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.hw/MB_Uart.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.hw/MB_Uart.lpr -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.hw/hw_1/hw.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.hw/hw_1/hw.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.ip_user_files/README.txt -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_1.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_10.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_10.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_11.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_11.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_12.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_12.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_13.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_13.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_14.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_14.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_15.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_15.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_16.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_16.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_17.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_17.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_18.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_18.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_19.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_19.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_2.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_20.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_20.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_21.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_21.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_22.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_22.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_23.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_23.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_24.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_24.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_25.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_25.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_26.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_26.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_27.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_27.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_28.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_28.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_29.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_29.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_3.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_3.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_30.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_30.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_31.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_31.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_32.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_32.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_33.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_33.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_34.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_34.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_35.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_35.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_36.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_36.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_4.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_4.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_5.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_5.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_6.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_6.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_7.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_7.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_8.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_8.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_9.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/.jobs/vrs_config_9.xml -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/debug_nets.ltx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/debug_nets.ltx -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/init_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/init_design.pb -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/opt_design.pb -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/place_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/place_design.pb -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/route_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/route_design.pb -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/impl_1/runme.log -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.runs/synth_1/runme.log -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.lock: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.log -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.plugins/org.eclipse.cdt.core/.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.plugins/org.eclipse.cdt.make.core/.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.prefs: -------------------------------------------------------------------------------- 1 | eclipse.preferences.version=1 2 | showIntro=false 3 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.plugins/org.eclipse.rse.core/.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.plugins/org.eclipse.rse.core/initializerMarks/org.eclipse.rse.internal.core.RSELocalConnectionInitializer.mark: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/.plugins/org.eclipse.rse.ui/.log: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/.metadata/version.ini: -------------------------------------------------------------------------------- 1 | org.eclipse.core.runtime=1 -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/SDK.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/SDK.log -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/design_1_wrapper.hdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/design_1_wrapper.hdf -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/.cproject: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/.cproject -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/.project -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/makefile -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/objects.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/objects.mk -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/oled.elf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/oled.elf -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/oled.elf.size: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/oled.elf.size -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/sources.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/sources.mk -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/src/subdir.mk: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/Debug/src/subdir.mk -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/gpio_header.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/gpio_header.h -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/intc_header.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/intc_header.h -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/lscript.ld: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/lscript.ld -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/spi_header.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/spi_header.h -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/testperiph.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/testperiph.c -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/xil_oled.c: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/xil_oled.c -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/xil_oled.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled/src/xil_oled.h -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled_bsp/.cproject: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled_bsp/.cproject -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled_bsp/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled_bsp/.project -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled_bsp/.sdkproject: -------------------------------------------------------------------------------- 1 | THIRPARTY=false 2 | PROCESSOR=microblaze_0 3 | MSS_FILE=system.mss 4 | -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled_bsp/Makefile: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled_bsp/Makefile -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled_bsp/system.mss: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/oled_bsp/system.mss -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/webtalk/sdk_webtalk.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/webtalk/sdk_webtalk.tcl -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/webtalk/sdk_webtalk.wdm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.sdk/webtalk/sdk_webtalk.wdm -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/MB_Uart.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/MB_Uart.xpr -------------------------------------------------------------------------------- /Projects_2016.2/4_Microblaze/OLED/ip_upgrade.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/4_Microblaze/OLED/ip_upgrade.log -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/Ball_Tracking_with_hls_sobel/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/Ball_Tracking_with_hls_sobel/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/ap_axi_sdata.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/ap_axi_sdata.h -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/ap_bmp.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/ap_bmp.cpp -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/ap_bmp.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/ap_bmp.h -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/ap_interfaces.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/ap_interfaces.h -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/ap_video.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/ap_video.h -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/readme.txt -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/result_1080p_golden.bmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/result_1080p_golden.bmp -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel.cpp -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel.h: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel.h -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel.tcl -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/.apc/autopilot.apfmapping: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/.apc/autopilot.apfmapping -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/.cproject: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/.cproject -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/.project: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/.project -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/.vivado_hls_log.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/.vivado_hls_log.xml -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/.vivado_hls_log_all.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/.vivado_hls_log_all.xml -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/result_1080p_golden.bmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/result_1080p_golden.bmp -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/.automg_exit: -------------------------------------------------------------------------------- 1 | Mon Apr 18 17:45:21 +0800 2016 2 | -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/.autopilot_exit: -------------------------------------------------------------------------------- 1 | 17:45:32 2 | 04/18/2016 3 | -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/solution3/.autopilot/db/ve_warning.tcl: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/obj/.dir: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/solution3/csim/build/sim.bat: -------------------------------------------------------------------------------- 1 | vivado_hls_bin.exe run_sim.tcl 2 | -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/solution3/directives.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/solution3/directives.tcl -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/solution3/script.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/solution3/script.tcl -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/test_1080p.bmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/test_1080p.bmp -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/vivado_hls.app: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_rd_prj/vivado_hls.app -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/sobel_test.cpp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/sobel_test.cpp -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/test_1080p.bmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/test_1080p.bmp -------------------------------------------------------------------------------- /Projects_2016.2/5_HLS/sobel_hls/vivado_hls.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/Projects_2016.2/5_HLS/sobel_hls/vivado_hls.log -------------------------------------------------------------------------------- /readme.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xupsh/Digital-Design-Reference-Design/HEAD/readme.txt --------------------------------------------------------------------------------