├── .gitignore ├── CODE_OF_CONDUCT.md ├── LICENSE.txt ├── README.md ├── README.txt ├── _config.yml ├── bin └── .gitkeep ├── doc ├── Comments.txt ├── IcVerimeter_logo.png ├── Languages.txt ├── Project.txt ├── SVCS_data_type_map.csv ├── SVCS_data_type_map.xlsx ├── Working Data │ ├── CodeDB.nd │ ├── Comments.nd │ ├── Files.nd │ ├── Languages.nd │ ├── LastCrash.txt │ ├── Output │ │ ├── BuildState.nd │ │ ├── Config.nd │ │ └── SearchIndex.nd │ ├── Parser.nd │ └── Project.nd ├── classes │ ├── C │ │ ├── Initiator-Summary.js │ │ ├── Initiator-SummaryToolTips.js │ │ ├── Initiator-ToolTips.js │ │ ├── Initiator.html │ │ ├── Memory-Summary.js │ │ ├── Memory-SummaryToolTips.js │ │ ├── Memory-ToolTips.js │ │ ├── Memory.html │ │ ├── Top-Summary.js │ │ ├── Top-SummaryToolTips.js │ │ ├── Top-ToolTips.js │ │ └── Top.html │ └── Python │ │ ├── SVpiVecVal-Summary.js │ │ ├── SVpiVecVal-SummaryToolTips.js │ │ ├── SVpiVecVal-ToolTips.js │ │ ├── SVpiVecVal.html │ │ ├── Shunt-Summary.js │ │ ├── Shunt-SummaryToolTips.js │ │ ├── Shunt-ToolTips.js │ │ ├── Shunt.html │ │ ├── Target-Summary.js │ │ ├── Target-SummaryToolTips.js │ │ ├── Target-ToolTips.js │ │ ├── Target.html │ │ ├── cs_data_header-Summary.js │ │ ├── cs_data_header-SummaryToolTips.js │ │ ├── cs_data_header-ToolTips.js │ │ ├── cs_data_header.html │ │ ├── cs_header-Summary.js │ │ ├── cs_header-SummaryToolTips.js │ │ ├── cs_header-ToolTips.js │ │ └── cs_header.html ├── files │ ├── shunt_client_server-h-Summary.js │ ├── shunt_client_server-h-SummaryToolTips.js │ ├── shunt_client_server-h-ToolTips.js │ ├── shunt_client_server-h.html │ ├── shunt_primitives-h-Summary.js │ ├── shunt_primitives-h-SummaryToolTips.js │ ├── shunt_primitives-h-ToolTips.js │ ├── shunt_primitives-h.html │ ├── shunt_typedef-h-Summary.js │ ├── shunt_typedef-h-SummaryToolTips.js │ ├── shunt_typedef-h-ToolTips.js │ ├── shunt_typedef-h.html │ ├── shunt_user_api-h-Summary.js │ ├── shunt_user_api-h-SummaryToolTips.js │ ├── shunt_user_api-h-ToolTips.js │ └── shunt_user_api-h.html ├── files2 │ ├── shunt_dpi-h-Summary.js │ ├── shunt_dpi-h-SummaryToolTips.js │ ├── shunt_dpi-h-ToolTips.js │ ├── shunt_dpi-h.html │ ├── shunt_vcs_dpi-svh-Summary.js │ ├── shunt_vcs_dpi-svh-SummaryToolTips.js │ ├── shunt_vcs_dpi-svh-ToolTips.js │ ├── shunt_vcs_dpi-svh.html │ ├── shunt_verilator_dpi-svh-Summary.js │ ├── shunt_verilator_dpi-svh-SummaryToolTips.js │ ├── shunt_verilator_dpi-svh-ToolTips.js │ └── shunt_verilator_dpi-svh.html ├── files3 │ ├── shunt_dpi-c-Summary.js │ ├── shunt_dpi-c-SummaryToolTips.js │ ├── shunt_dpi-c-ToolTips.js │ ├── shunt_dpi-c.html │ ├── shunt_dpi_pkg-sv-Summary.js │ ├── shunt_dpi_pkg-sv-SummaryToolTips.js │ ├── shunt_dpi_pkg-sv-ToolTips.js │ ├── shunt_dpi_pkg-sv.html │ ├── shunt_hs_pkg-sv-Summary.js │ ├── shunt_hs_pkg-sv-SummaryToolTips.js │ ├── shunt_hs_pkg-sv-ToolTips.js │ └── shunt_hs_pkg-sv.html ├── files4 │ ├── shunt_tlm-h-Summary.js │ ├── shunt_tlm-h-SummaryToolTips.js │ ├── shunt_tlm-h-ToolTips.js │ └── shunt_tlm-h.html ├── files5 │ ├── shunt-py-Summary.js │ ├── shunt-py-SummaryToolTips.js │ ├── shunt-py-ToolTips.js │ └── shunt-py.html ├── files6 │ ├── py │ │ └── sv2py │ │ │ ├── initiator │ │ │ └── src │ │ │ │ ├── Initiator-sv-Summary.js │ │ │ │ ├── Initiator-sv-SummaryToolTips.js │ │ │ │ ├── Initiator-sv-ToolTips.js │ │ │ │ └── Initiator-sv.html │ │ │ └── target │ │ │ └── src │ │ │ ├── Target-py-Summary.js │ │ │ ├── Target-py-SummaryToolTips.js │ │ │ ├── Target-py-ToolTips.js │ │ │ └── Target-py.html │ ├── sc │ │ ├── LT_simple_cs │ │ │ ├── initiator │ │ │ │ └── src │ │ │ │ │ ├── initiator-h-Summary.js │ │ │ │ │ ├── initiator-h-SummaryToolTips.js │ │ │ │ │ ├── initiator-h-ToolTips.js │ │ │ │ │ ├── initiator-h.html │ │ │ │ │ ├── shunt_define-h-Summary.js │ │ │ │ │ ├── shunt_define-h-SummaryToolTips.js │ │ │ │ │ ├── shunt_define-h-ToolTips.js │ │ │ │ │ ├── shunt_define-h.html │ │ │ │ │ ├── target-h-Summary.js │ │ │ │ │ ├── target-h-SummaryToolTips.js │ │ │ │ │ ├── target-h-ToolTips.js │ │ │ │ │ ├── target-h.html │ │ │ │ │ ├── top-h-Summary.js │ │ │ │ │ ├── top-h-SummaryToolTips.js │ │ │ │ │ ├── top-h-ToolTips.js │ │ │ │ │ └── top-h.html │ │ │ └── target │ │ │ │ └── src │ │ │ │ ├── initiator-h-Summary.js │ │ │ │ ├── initiator-h-SummaryToolTips.js │ │ │ │ ├── initiator-h-ToolTips.js │ │ │ │ ├── initiator-h.html │ │ │ │ ├── shunt_define-h-Summary.js │ │ │ │ ├── shunt_define-h-SummaryToolTips.js │ │ │ │ ├── shunt_define-h-ToolTips.js │ │ │ │ ├── shunt_define-h.html │ │ │ │ ├── target-h-Summary.js │ │ │ │ ├── target-h-SummaryToolTips.js │ │ │ │ ├── target-h-ToolTips.js │ │ │ │ ├── target-h.html │ │ │ │ ├── top-h-Summary.js │ │ │ │ ├── top-h-SummaryToolTips.js │ │ │ │ ├── top-h-ToolTips.js │ │ │ │ └── top-h.html │ │ └── LT_simple_sv │ │ │ └── target │ │ │ └── src │ │ │ ├── Target-sv-Summary.js │ │ │ ├── Target-sv-SummaryToolTips.js │ │ │ ├── Target-sv-ToolTips.js │ │ │ └── Target-sv.html │ └── sv │ │ ├── handshake │ │ ├── initiator │ │ │ └── src │ │ │ │ ├── Initiator-sv-Summary.js │ │ │ │ ├── Initiator-sv-SummaryToolTips.js │ │ │ │ ├── Initiator-sv-ToolTips.js │ │ │ │ └── Initiator-sv.html │ │ └── target │ │ │ └── src │ │ │ ├── Target-sv-Summary.js │ │ │ ├── Target-sv-SummaryToolTips.js │ │ │ ├── Target-sv-ToolTips.js │ │ │ └── Target-sv.html │ │ └── sv2sv │ │ ├── includes │ │ ├── cs_common-svh-Summary.js │ │ ├── cs_common-svh-SummaryToolTips.js │ │ ├── cs_common-svh-ToolTips.js │ │ └── cs_common-svh.html │ │ ├── initiator │ │ └── src │ │ │ ├── Initiator-sv-Summary.js │ │ │ ├── Initiator-sv-SummaryToolTips.js │ │ │ ├── Initiator-sv-ToolTips.js │ │ │ └── Initiator-sv.html │ │ └── target │ │ └── src │ │ ├── Target-sv-Summary.js │ │ ├── Target-sv-SummaryToolTips.js │ │ ├── Target-sv-ToolTips.js │ │ └── Target-sv.html ├── index.html ├── menu │ ├── classes.js │ ├── files.js │ ├── modules.js │ ├── packages.js │ └── tabs.js ├── modules │ └── SystemVerilog │ │ ├── Initiator-Summary.js │ │ ├── Initiator-SummaryToolTips.js │ │ ├── Initiator-ToolTips.js │ │ ├── Initiator.html │ │ ├── Target-Summary.js │ │ ├── Target-SummaryToolTips.js │ │ ├── Target-ToolTips.js │ │ ├── Target.html │ │ ├── memory-Summary.js │ │ ├── memory-SummaryToolTips.js │ │ ├── memory-ToolTips.js │ │ └── memory.html ├── other │ └── home.html ├── packages │ └── SystemVerilog │ │ ├── cs_common-Summary.js │ │ ├── cs_common-SummaryToolTips.js │ │ ├── cs_common-ToolTips.js │ │ └── cs_common.html ├── search │ ├── index.js │ └── keywords │ │ ├── 0030.js │ │ ├── 003700350034.js │ │ ├── 005f005f0069.js │ │ ├── 006100640064.js │ │ ├── 0061006e0064.js │ │ ├── 006100700069.js │ │ ├── 006100720065.js │ │ ├── 006100750078.js │ │ ├── 0062005f0074.js │ │ ├── 006200690074.js │ │ ├── 006200720069.js │ │ ├── 006200790074.js │ │ ├── 0063.js │ │ ├── 0063006c0069.js │ │ ├── 0063006c006b.js │ │ ├── 0063006f006d.js │ │ ├── 0063006f0072.js │ │ ├── 00630073.js │ │ ├── 00630073005f.js │ │ ├── 006400610074.js │ │ ├── 006400650066.js │ │ ├── 006400700069.js │ │ ├── 00640079006e.js │ │ ├── 0065006c0065.js │ │ ├── 0065006e0064.js │ │ ├── 006500780061.js │ │ ├── 006500780063.js │ │ ├── 006600690078.js │ │ ├── 00660075006e.js │ │ ├── 0068.js │ │ ├── 0068005f.js │ │ ├── 0068005f0064.js │ │ ├── 0068005f0065.js │ │ ├── 0068005f0074.js │ │ ├── 006800650061.js │ │ ├── 0069.js │ │ ├── 0069005f.js │ │ ├── 006900650065.js │ │ ├── 0069006e0069.js │ │ ├── 0069006e0074.js │ │ ├── 00690070.js │ │ ├── 00690073005f.js │ │ ├── 006c006f0067.js │ │ ├── 006c006f006e.js │ │ ├── 006d005f0073.js │ │ ├── 006d0065006d.js │ │ ├── 006d0079005f.js │ │ ├── 006e006f006e.js │ │ ├── 007000610063.js │ │ ├── 007000610073.js │ │ ├── 0070006b0074.js │ │ ├── 007000720069.js │ │ ├── 00700079.js │ │ ├── 00720061006d.js │ │ ├── 007200650061.js │ │ ├── 007200650067.js │ │ ├── 007200650073.js │ │ ├── 0073005f006d.js │ │ ├── 007300650072.js │ │ ├── 00730068006f.js │ │ ├── 007300680075.js │ │ ├── 00730069007a.js │ │ ├── 0073006f0063.js │ │ ├── 007300740061.js │ │ ├── 007300740072.js │ │ ├── 007300750063.js │ │ ├── 007300750070.js │ │ ├── 00730076.js │ │ ├── 007300760068.js │ │ ├── 007300760070.js │ │ ├── 007300790073.js │ │ ├── 007400610072.js │ │ ├── 007400610073.js │ │ ├── 007400630070.js │ │ ├── 007400650073.js │ │ ├── 007400680072.js │ │ ├── 00740069006d.js │ │ ├── 0074006c006d.js │ │ ├── 0074006f.js │ │ ├── 0074006f0070.js │ │ ├── 00740072006e.js │ │ ├── 007400790070.js │ │ ├── 007500730065.js │ │ ├── 007500740069.js │ │ ├── 0076005f0073.js │ │ ├── 007600650063.js │ │ ├── 007600650072.js │ │ ├── 0078006c006f.js │ │ └── 007800720065.js ├── shunt_logo.png ├── shut_log_min.png └── styles │ ├── Default │ ├── Default.css │ └── images │ │ ├── menu-folder-arrow-dark-hover.svg │ │ ├── menu-folder-arrow-dark.svg │ │ ├── menu-folder-arrow.svg │ │ ├── menu-loading-black.gif │ │ ├── menu-loading-dark.gif │ │ ├── menu-loading.gif │ │ ├── menu-tab-classes-125.svg │ │ ├── menu-tab-classes-150.svg │ │ ├── menu-tab-classes-dark-125.svg │ │ ├── menu-tab-classes-dark-150.svg │ │ ├── menu-tab-classes-dark-selected-125.svg │ │ ├── menu-tab-classes-dark-selected-150.svg │ │ ├── menu-tab-classes-dark-selected.svg │ │ ├── menu-tab-classes-dark.svg │ │ ├── menu-tab-classes.svg │ │ ├── menu-tab-database-125.svg │ │ ├── menu-tab-database-150.svg │ │ ├── menu-tab-database-dark-125.svg │ │ ├── menu-tab-database-dark-150.svg │ │ ├── menu-tab-database-dark-selected-125.svg │ │ ├── menu-tab-database-dark-selected-150.svg │ │ ├── menu-tab-database-dark-selected.svg │ │ ├── menu-tab-database-dark.svg │ │ ├── menu-tab-database.svg │ │ ├── menu-tab-files-125.svg │ │ ├── menu-tab-files-150.svg │ │ ├── menu-tab-files-dark-125.svg │ │ ├── menu-tab-files-dark-150.svg │ │ ├── menu-tab-files-dark-selected-125.svg │ │ ├── menu-tab-files-dark-selected-150.svg │ │ ├── menu-tab-files-dark-selected.svg │ │ ├── menu-tab-files-dark.svg │ │ ├── menu-tab-files.svg │ │ ├── menu-tab-modules-125.svg │ │ ├── menu-tab-modules-150.svg │ │ ├── menu-tab-modules-dark-125.svg │ │ ├── menu-tab-modules-dark-150.svg │ │ ├── menu-tab-modules-dark-selected-125.svg │ │ ├── menu-tab-modules-dark-selected-150.svg │ │ ├── menu-tab-modules-dark-selected.svg │ │ ├── menu-tab-modules-dark.svg │ │ ├── menu-tab-modules.svg │ │ ├── menu-tab-packages-125.svg │ │ ├── menu-tab-packages-150.svg │ │ ├── menu-tab-packages-dark-125.svg │ │ ├── menu-tab-packages-dark-150.svg │ │ ├── menu-tab-packages-dark-selected-125.svg │ │ ├── menu-tab-packages-dark-selected-150.svg │ │ ├── menu-tab-packages-dark-selected.svg │ │ ├── menu-tab-packages-dark.svg │ │ ├── menu-tab-packages.svg │ │ ├── search-black.svg │ │ ├── search-class-125.svg │ │ ├── search-class-150.svg │ │ ├── search-class-black-125.svg │ │ ├── search-class-black-150.svg │ │ ├── search-class-black.svg │ │ ├── search-class-dark-125.svg │ │ ├── search-class-dark-150.svg │ │ ├── search-class-dark.svg │ │ ├── search-class.svg │ │ ├── search-dark.svg │ │ ├── search-group-arrow-black.svg │ │ ├── search-group-arrow-dark.svg │ │ ├── search-group-arrow.svg │ │ ├── search.svg │ │ ├── summary-loading-dark.gif │ │ ├── summary-loading.gif │ │ ├── theme-menu-autolightblack.svg │ │ ├── theme-menu-autolightdark.svg │ │ ├── theme-menu-black.svg │ │ ├── theme-menu-dark.svg │ │ ├── theme-menu-light.svg │ │ ├── theme-switcher-black.svg │ │ ├── theme-switcher-dark.svg │ │ ├── theme-switcher-hover-black.svg │ │ ├── theme-switcher-hover-dark.svg │ │ ├── theme-switcher-hover.svg │ │ └── theme-switcher.svg │ ├── DefaultJS │ ├── NDContentPage.js │ ├── NDCore.js │ ├── NDFramePage.js │ ├── NDHomePage.js │ ├── NDMenu.js │ ├── NDSearch.js │ ├── NDSummary.js │ └── NDThemes.js │ ├── main.css │ └── main.js ├── examples ├── c │ ├── client_server │ │ ├── includes │ │ │ └── cs_common.h │ │ ├── initiator │ │ │ ├── makedir │ │ │ │ ├── makefile │ │ │ │ ├── objects.mk │ │ │ │ ├── sources.mk │ │ │ │ └── src │ │ │ │ │ └── subdir.mk │ │ │ └── src │ │ │ │ └── csC_Initiator.c │ │ ├── makedir │ │ │ ├── Makefile │ │ │ └── run │ │ └── target │ │ │ ├── makedir │ │ │ ├── makefile │ │ │ ├── objects.mk │ │ │ ├── sources.mk │ │ │ └── src │ │ │ │ └── subdir.mk │ │ │ └── src │ │ │ └── csC_Target.c │ ├── primitives │ │ ├── includes │ │ │ └── cs_common.h │ │ ├── initiator │ │ │ ├── makedir │ │ │ │ ├── makefile │ │ │ │ ├── objects.mk │ │ │ │ ├── sources.mk │ │ │ │ └── src │ │ │ │ │ └── subdir.mk │ │ │ └── src │ │ │ │ └── primC_Initiator.c │ │ ├── makedir │ │ │ ├── Makefile │ │ │ └── run │ │ └── target │ │ │ ├── makedir │ │ │ ├── makefile │ │ │ ├── objects.mk │ │ │ ├── sources.mk │ │ │ └── src │ │ │ │ └── subdir.mk │ │ │ └── src │ │ │ └── primC_Target.c │ └── user_api │ │ ├── includes │ │ └── cs_common.h │ │ ├── initiator │ │ ├── makedir │ │ │ ├── makefile │ │ │ ├── objects.mk │ │ │ ├── sources.mk │ │ │ └── src │ │ │ │ └── subdir.mk │ │ └── src │ │ │ └── apiC_Initiator.c │ │ ├── makedir │ │ ├── Makefile │ │ └── run │ │ └── target │ │ ├── makedir │ │ ├── makefile │ │ ├── objects.mk │ │ ├── sources.mk │ │ └── src │ │ │ └── subdir.mk │ │ └── src │ │ └── apiC_Target.c ├── makedir │ ├── .gitignore │ ├── full_cleanup │ ├── run_examples │ └── run_examples_debug ├── py │ └── sv2py │ │ ├── includes │ │ └── cs_common.svh │ │ ├── initiator │ │ ├── bin │ │ │ └── .gitkeep │ │ ├── makedir │ │ │ ├── Makefile │ │ │ ├── run │ │ │ └── verilator_run │ │ └── src │ │ │ └── Initiator.sv │ │ ├── makedir │ │ ├── Makefile │ │ ├── Sim.mk │ │ ├── run │ │ ├── run_debug │ │ ├── sim_main.cpp │ │ └── verilator_run │ │ └── target │ │ └── src │ │ └── Target.py ├── sc │ ├── LT_simple_cs │ │ ├── initiator │ │ │ ├── bin │ │ │ │ └── .gitkeep │ │ │ ├── makedir │ │ │ │ ├── makefile │ │ │ │ ├── objects.mk │ │ │ │ ├── sources.mk │ │ │ │ └── subdir.mk │ │ │ └── src │ │ │ │ ├── design.cpp │ │ │ │ ├── initiator.h │ │ │ │ ├── shunt_define.h │ │ │ │ ├── target.h │ │ │ │ ├── testbench.cpp │ │ │ │ └── top.h │ │ ├── makedir │ │ │ ├── Makefile │ │ │ ├── output.log │ │ │ ├── run │ │ │ └── server.log │ │ ├── readme │ │ └── target │ │ │ ├── bin │ │ │ └── .gitkeep │ │ │ ├── makedir │ │ │ ├── makefile │ │ │ ├── objects.mk │ │ │ ├── sources.mk │ │ │ └── subdir.mk │ │ │ └── src │ │ │ ├── design.cpp │ │ │ ├── initiator.h │ │ │ ├── shunt_define.h │ │ │ ├── target.h │ │ │ ├── testbench.cpp │ │ │ └── top.h │ ├── LT_simple_sv │ │ ├── initiator │ │ │ ├── bin │ │ │ │ └── .gitkeep │ │ │ ├── makedir │ │ │ │ ├── makefile │ │ │ │ ├── objects.mk │ │ │ │ ├── sources.mk │ │ │ │ └── subdir.mk │ │ │ └── src │ │ │ │ ├── design.cpp │ │ │ │ ├── initiator.h │ │ │ │ ├── shunt_define.h │ │ │ │ ├── target.h │ │ │ │ ├── testbench.cpp │ │ │ │ └── top.h │ │ ├── makedir │ │ │ ├── Makefile │ │ │ ├── initiator.log │ │ │ ├── run │ │ │ ├── target.gtkw │ │ │ ├── target.log │ │ │ └── top_sim_ref.vcd │ │ ├── readme │ │ └── target │ │ │ ├── bin │ │ │ └── .gitkeep │ │ │ ├── makedir │ │ │ ├── Makefile │ │ │ ├── Sim.mk │ │ │ ├── run │ │ │ ├── sim_main.cpp │ │ │ └── verilator_run │ │ │ └── src │ │ │ ├── Target.sv │ │ │ ├── cs_common.svh │ │ │ └── top_sim.v │ └── LT_simple_trns │ │ ├── initiator │ │ ├── bin │ │ │ └── .gitkeep │ │ ├── makedir │ │ │ ├── makefile │ │ │ ├── objects.mk │ │ │ ├── sources.mk │ │ │ └── subdir.mk │ │ ├── src │ │ │ ├── design.cpp │ │ │ ├── initiator.h │ │ │ ├── shunt_define.h │ │ │ ├── target.h │ │ │ ├── target.h.ref │ │ │ ├── testbench.cpp │ │ │ └── top.h │ │ └── templates.xml │ │ ├── makedir │ │ ├── Makefile │ │ ├── output.log │ │ ├── run │ │ └── server.log │ │ ├── readme │ │ └── target │ │ ├── bin │ │ └── .gitkeep │ │ ├── makedir │ │ ├── makefile │ │ ├── objects.mk │ │ ├── sources.mk │ │ └── subdir.mk │ │ └── src │ │ ├── design.cpp │ │ ├── initiator.h │ │ ├── shunt_define.h │ │ ├── target.h │ │ ├── testbench.cpp │ │ └── top.h └── sv │ ├── handshake │ ├── includes │ │ └── cs_common.svh │ ├── initiator │ │ ├── bin │ │ │ └── .gitkeep │ │ ├── makedir │ │ │ ├── Makefile │ │ │ └── run │ │ └── src │ │ │ └── Initiator.sv │ ├── makedir │ │ ├── Makefile │ │ └── run │ └── target │ │ ├── bin │ │ └── .gitkeep │ │ ├── makedir │ │ ├── Makefile │ │ └── run │ │ └── src │ │ └── Target.sv │ └── sv2sv │ ├── includes │ └── cs_common.svh │ ├── initiator │ ├── makedir │ │ ├── Makefile │ │ ├── run │ │ └── verilator_run │ └── src │ │ └── Initiator.sv │ ├── makedir │ ├── Makefile │ ├── Sim.mk │ ├── run │ ├── sim_main.cpp │ └── verilator_run │ └── target │ ├── makedir │ ├── Makefile │ ├── run │ └── verilator_run │ └── src │ └── Target.sv └── utils ├── c ├── include │ ├── .gitignore │ ├── shunt_client_server.h │ ├── shunt_primitives.h │ ├── shunt_typedef.h │ └── shunt_user_api.h └── src │ ├── shunt_client_server.c │ ├── shunt_primitives.c │ └── shunt_user_api.c ├── dpi ├── include │ ├── shunt_dpi.h │ ├── shunt_vcs_dpi.svh │ └── shunt_verilator_dpi.svh └── src │ ├── shunt_dpi.c │ ├── shunt_dpi_pkg.sv │ └── shunt_hs_pkg.sv ├── makedir ├── c │ └── src │ │ └── subdir.mk ├── dpi │ └── src │ │ └── subdir.mk ├── makefile ├── objects.mk └── sources.mk ├── makefile.init ├── py ├── .gitkeep └── shunt_py │ ├── __init__.py │ └── shunt.py └── sc └── src └── shunt_tlm.h /.gitignore: -------------------------------------------------------------------------------- 1 | #Verilator 2 | **/obj_dir/* 3 | #Compiled Object 4 | *.so 5 | *.d 6 | *.o 7 | #emacs temp files 8 | *.*~ 9 | *~ 10 | \#* 11 | #eclipse 12 | *.settings.xml 13 | *.eclipse.cdt.codan.core.prefs 14 | *.eclipse.cdt.core.prefs 15 | **/bin/* 16 | **vscode/* 17 | *.json 18 | *.vcd 19 | */makedir/r 20 | *.log 21 | examples/sc/LT_simple_cs/makedir/r 22 | -------------------------------------------------------------------------------- /LICENSE.txt: -------------------------------------------------------------------------------- 1 | Title: License 2 | This project is licensed under the MIT 3 | 4 | MIT License 5 | 6 | Copyright (c) 2016-2025 IC Verimeter 7 | 8 | Permission is hereby granted, free of charge, to any person obtaining a copy 9 | of this software and associated documentation files (the "Software"), to deal 10 | in the Software without restriction, including without limitation the rights 11 | to use, copy, modify, merge, publish, distribute, sublicense, and/or sell 12 | copies of the Software, and to permit persons to whom the Software is 13 | furnished to do so, subject to the following conditions: 14 | 15 | The above copyright notice and this permission notice shall be included in all 16 | copies or substantial portions of the Software. 17 | 18 | THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR 19 | IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, 20 | FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE 21 | AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER 22 | LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, 23 | OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE 24 | SOFTWARE. 25 | -------------------------------------------------------------------------------- /README.txt: -------------------------------------------------------------------------------- 1 | 2 | TITLE: 1. Introduction 3 | 4 | 5 | Welcome to the SystemVerilog TCP/IP "Shunt" ! 6 | 7 | icshunt.help@gmail.com 8 | 9 | 10 | The Shunt is Open Source Client/Server TCP/IP socket based communication library for SystemVerilog simulation. 11 | 12 | -It aims to enable quick and easy development of communication between stand-alone SystemVerilog simulations and/or external applications 13 | 14 | -It provides a common SystemVerilog/C API and supports mostly all System Verilog data types and data structures. 15 | 16 | 17 | Tne Shunt is available under a "MIT" License. It can be used without restriction in an open-source or commercial application. 18 | 19 | 20 | ============================================================================ 21 | Copyright (c) 2016-2025 IC Verimeter. All rights reserved. 22 | ============================================================================= -------------------------------------------------------------------------------- /_config.yml: -------------------------------------------------------------------------------- 1 | theme: jekyll-theme-cayman -------------------------------------------------------------------------------- /bin/.gitkeep: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/bin/.gitkeep -------------------------------------------------------------------------------- /doc/IcVerimeter_logo.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/IcVerimeter_logo.png -------------------------------------------------------------------------------- /doc/SVCS_data_type_map.xlsx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/SVCS_data_type_map.xlsx -------------------------------------------------------------------------------- /doc/Working Data/CodeDB.nd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/Working Data/CodeDB.nd -------------------------------------------------------------------------------- /doc/Working Data/Comments.nd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/Working Data/Comments.nd -------------------------------------------------------------------------------- /doc/Working Data/Files.nd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/Working Data/Files.nd -------------------------------------------------------------------------------- /doc/Working Data/Languages.nd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/Working Data/Languages.nd -------------------------------------------------------------------------------- /doc/Working Data/Output/BuildState.nd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/Working Data/Output/BuildState.nd -------------------------------------------------------------------------------- /doc/Working Data/Output/Config.nd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/Working Data/Output/Config.nd -------------------------------------------------------------------------------- /doc/Working Data/Output/SearchIndex.nd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/Working Data/Output/SearchIndex.nd -------------------------------------------------------------------------------- /doc/Working Data/Parser.nd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/Working Data/Parser.nd -------------------------------------------------------------------------------- /doc/Working Data/Project.nd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/Working Data/Project.nd -------------------------------------------------------------------------------- /doc/classes/C/Initiator-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("CClass:Initiator","Initiator");NDSummary.OnSummaryLoaded("CClass:Initiator",[["C/C++","C"]],[["Classes","Class"],["Functions","Function"],["Groups","Group"],["Variables","Variable"]],[[912,0,0,"Initiator"],[903,0,2,"Variables","Variables"],[904,0,3,"socket","socket"],[905,0,3,"m_socket","m_socket"],[916,0,2,"Functions","Functions"],[907,0,1,"Initiator","Initiator"],[908,0,1,"thread_process","thread_process"],[909,0,2,"Variables","Variables(2)"],[910,0,3,"data","data"]]); -------------------------------------------------------------------------------- /doc/classes/C/Initiator-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({912:"
struct
Initiator
Purpose: Initiator module generating generic payload transactions.  Acts as a TLM-2 transaction initiator that communicates with a target/memory component over a socket connection.  In the client-server model, this acts as the server side.
"}); -------------------------------------------------------------------------------- /doc/classes/C/Memory-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("CClass:Memory","Memory");NDSummary.OnSummaryLoaded("CClass:Memory",[["C/C++","C"]],[["Classes","Class"],["Constants","Constant"],["Functions","Function"],["Groups","Group"],["Variables","Variable"]],[[451,0,0,"Memory"],[452,0,3,"Variables","Variables"],[928,0,4,"socket","socket"],[445,0,4,"SIZE","SIZE"],[929,0,3,"Constants","Constants"],[930,0,1,"SIZE","SIZE"],[931,0,3,"Functions","Functions"],[932,0,2,"Memory","Memory"],[933,0,2,"b_transport","b_transport"],[934,0,3,"Variables","Variables(2)"],[935,0,4,"mem","mem"]]); -------------------------------------------------------------------------------- /doc/classes/C/Memory-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({451:"
struct
Memory
Purpose: Target module representing a simple memory.  Acts as a TLM-2 transaction target that responds to read and write commands from an initiator component.
"}); -------------------------------------------------------------------------------- /doc/classes/C/Top-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("CClass:Top","Top");NDSummary.OnSummaryLoaded("CClass:Top",[["C/C++","C"]],[["Classes","Class"],["Functions","Function"],["Groups","Group"],["Variables","Variable"]],[[937,0,0,"Top"],[938,0,2,"Variables","Variables"],[939,0,3,"initiator","initiator"],[940,0,3,"memory","memory"],[941,0,2,"Functions","Functions"],[942,0,1,"Top","Top"]]); -------------------------------------------------------------------------------- /doc/classes/C/Top-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({451:"
struct
Memory
Purpose: Target module representing a simple memory.  Acts as a TLM-2 transaction target that responds to read and write commands from an initiator component.
",912:"
struct
Initiator
Purpose: Initiator module generating generic payload transactions.  Acts as a TLM-2 transaction initiator that communicates with a target/memory component over a socket connection.  In the client-server model, this acts as the server side.
"}); -------------------------------------------------------------------------------- /doc/classes/Python/SVpiVecVal-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("PythonClass:SVpiVecVal","SVpiVecVal");NDSummary.OnSummaryLoaded("PythonClass:SVpiVecVal",[["Python","Python"]],[["Classes","Class"]],[[166,0,0,"SVpiVecVal"]]); -------------------------------------------------------------------------------- /doc/classes/Python/SVpiVecVal-SummaryToolTips.js: -------------------------------------------------------------------------------- 1 | NDSummary.OnToolTipsLoaded("PythonClass:SVpiVecVal",{166:"
SVpiVecVal
A ctypes Structure representing a verilog vector  aval/bval of 4-state data type,32-bit signed integer LRM 6.11 Integer data types Contains two 32-bit unsigned integers.
"}); -------------------------------------------------------------------------------- /doc/classes/Python/SVpiVecVal-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/classes/Python/Target-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("PythonClass:Target","Target");NDSummary.OnSummaryLoaded("PythonClass:Target",[["Python","Python"]],[["Classes","Class"],["Functions","Function"],["Groups","Group"]],[[878,0,0,"Target"],[879,0,2,"Functions","Functions"],[880,0,1,"__init__","__init__"],[881,0,1,"init_target","init_target"],[882,0,1,"header_loopback_test","header_loopback_test"],[883,0,1,"short_loopback_test","short_loopback_test"],[884,0,1,"int_loopback_test","int_loopback_test"],[885,0,1,"long_loopback_test","long_loopback_test"],[886,0,1,"byte_loopback_test","byte_loopback_test"],[887,0,1,"integer_loopback_test","integer_loopback_test"],[888,0,1,"time_loopback_test","time_loopback_test"],[889,0,1,"bit_loopback_test","bit_loopback_test"],[890,0,1,"reg_loopback_test","reg_loopback_test"],[891,0,1,"logic_loopback_test","logic_loopback_test"],[892,0,1,"real_loopback_test","real_loopback_test"],[893,0,1,"string_loopback_test","string_loopback_test"],[894,0,1,"bitN_loopback_test","bitN_loopback_test"],[895,0,1,"regN_loopback_test","regN_loopback_test"],[896,0,1,"logicN_loopback_test","logicN_loopback_test"],[897,0,1,"timeN_loopback_test","timeN_loopback_test"],[898,0,1,"data_header_loopback_test","data_header_loopback_test"],[899,0,1,"data_loopback_test","data_loopback_test"],[900,0,1,"header_data_loopback_test","header_data_loopback_test"],[901,0,1,"print_shunt_header","print_shunt_header"],[902,0,1,"print_shunt_data_header","print_shunt_data_header"]]); -------------------------------------------------------------------------------- /doc/classes/Python/Target-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/classes/Python/cs_data_header-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("PythonClass:cs_data_header","cs_data_header");NDSummary.OnSummaryLoaded("PythonClass:cs_data_header",[["Python","Python"]],[["Classes","Class"],["Functions","Function"],["Groups","Group"]],[[160,0,0,"cs_data_header"],[161,0,2,"Functions","Functions"],[162,0,1,"print_structure","print_structure"]]); -------------------------------------------------------------------------------- /doc/classes/Python/cs_data_header-SummaryToolTips.js: -------------------------------------------------------------------------------- 1 | NDSummary.OnToolTipsLoaded("PythonClass:cs_data_header",{160:"
cs_data_header
A ctypes Structure representing  cs_data_header data header.  This structure contains fields for transaction payload sizes and data type.
",162:"
def print_structure(
self
)
Prints cs_data_header structure.
"}); -------------------------------------------------------------------------------- /doc/classes/Python/cs_data_header-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({160:"
cs_data_header
A ctypes Structure representing  cs_data_header data header.  This structure contains fields for transaction payload sizes and data type.
"}); -------------------------------------------------------------------------------- /doc/classes/Python/cs_header-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("PythonClass:cs_header","cs_header");NDSummary.OnSummaryLoaded("PythonClass:cs_header",[["Python","Python"]],[["Classes","Class"],["Functions","Function"],["Groups","Group"]],[[163,0,0,"cs_header"],[164,0,2,"Functions","Functions"],[165,0,1,"print_structure","print_structure"]]); -------------------------------------------------------------------------------- /doc/classes/Python/cs_header-SummaryToolTips.js: -------------------------------------------------------------------------------- 1 | NDSummary.OnToolTipsLoaded("PythonClass:cs_header",{163:"
cs_header
A ctypes Structure representing  cs_header communication protocol.  This structure includes fields for transaction type, ID, data type, and number of payloads.
",165:"
def print_structure(
self
)
Prints cs_header structure.
"}); -------------------------------------------------------------------------------- /doc/files/shunt_user_api-h-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File:shunt_user_api.h","shunt_user_api.h");NDSummary.OnSummaryLoaded("File:shunt_user_api.h",[["C/C++","C"]],[["Functions","Function"],["Groups","Group"],["Information","Information"],["Sections","Section"]],[[211,0,3,"shunt_user_api.h","shunt_user_api.h"],[212,0,3,"Utilities: USER API","Utilities_USER_API"],[213,0,3,"Data exchange utilities (API)","Data_exchange_utilities"],[214,0,1,"Information","Information"],[215,0,2,"Supported cs_header_t data_types and corresponding verilog data types are(element and vectors):","Supported_cs_header_t_data_types_and_corresponding_verilog_data_types_are(element_and_vectors)_"],[216,0,2,,"SHUNT_INT"],[217,0,2,,"SHUNT_SHORTINT"],[218,0,2,,"SHUNT_LONGINT"],[219,0,2,,"SHUNT_BYTE"],[220,0,2,,"SHUNT_BIT"],[221,0,2,,"SHUNT_INTEGER"],[222,0,2,,"SHUNT_REG"],[223,0,2,,"SHUNT_REAL"],[224,0,2,,"SHUNT_SHORTREAL"],[225,0,2,,"SHUNT_STRING"],[226,0,2,,"SHUNT_A_STRUCTURE"],[227,0,2,,"SHUNT_HEADER_ONLY"],[228,0,1,"Functions","Functions"],[229,0,0,"shunt_api_send","shunt_api_send"],[230,0,0,"shunt_api_recv","shunt_api_recv"],[231,0,3,"Fixed size packet communication Data exchange (API)","Fixed_size_packet_communication_Data_exchange"],[232,0,1,"Functions","Functions(2)"],[233,0,0,"shunt_pkt_send_longV","shunt_pkt_send_longV"],[234,0,0,"shunt_pkt_rcv_longV","shunt_pkt_rcv_longV"]]); -------------------------------------------------------------------------------- /doc/files/shunt_user_api-h-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files2/shunt_vcs_dpi-svh-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File2:shunt_vcs_dpi.svh","shunt_vcs_dpi.svh");NDSummary.OnSummaryLoaded("File2:shunt_vcs_dpi.svh",[["SystemVerilog","SystemVerilog"]],[["Sections","Section"]],[[210,0,0,"shunt_vcs_dpi.h","shunt_vcs_dpi.h"]]); -------------------------------------------------------------------------------- /doc/files2/shunt_vcs_dpi-svh-SummaryToolTips.js: -------------------------------------------------------------------------------- 1 | NDSummary.OnToolTipsLoaded("File2:shunt_vcs_dpi.svh",{210:"
Copyright (c) 2016-2025 IC Verimeter. All rights reserved.
"}); -------------------------------------------------------------------------------- /doc/files2/shunt_vcs_dpi-svh-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files2/shunt_vcs_dpi-svh.html: -------------------------------------------------------------------------------- 1 |  2 | 3 | shunt_vcs_dpi.svh 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 |
12 |
shunt_vcs_dpi.h
13 |

Copyright (c) 2016-2025 IC Verimeter. All rights reserved.

Licensed under the MIT License.

See LICENSE file in the project root for full license information.

Description : shunt vcs integration

Not supported DPI functions defines: Version 1.0.1 : VCS

System Verilog target initiator handshake (TCP/IP SystemVerilog SHUNT)

14 |
15 | 16 | -------------------------------------------------------------------------------- /doc/files2/shunt_verilator_dpi-svh-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File2:shunt_verilator_dpi.svh","shunt_verilator_dpi.svh");NDSummary.OnSummaryLoaded("File2:shunt_verilator_dpi.svh",[["SystemVerilog","SystemVerilog"]],[["Sections","Section"]],[[208,0,0,"shunt_verilator_dpi.h","shunt_verilator_dpi.h"]]); -------------------------------------------------------------------------------- /doc/files2/shunt_verilator_dpi-svh-SummaryToolTips.js: -------------------------------------------------------------------------------- 1 | NDSummary.OnToolTipsLoaded("File2:shunt_verilator_dpi.svh",{208:"
1.0.1 Copyright (c) 2016-2025 IC Verimeter. All rights reserved.
"}); -------------------------------------------------------------------------------- /doc/files2/shunt_verilator_dpi-svh-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files2/shunt_verilator_dpi-svh.html: -------------------------------------------------------------------------------- 1 |  2 | 3 | shunt_verilator_dpi.svh 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 |
12 |
shunt_verilator_dpi.h
13 |

1.0.1 Copyright (c) 2016-2025 IC Verimeter. All rights reserved.

Licensed under the MIT License.

See LICENSE file in the project root for full license information.

Description : shunt verilator integration

Not supported DPI functions defines: Version 1.0.1 : Verilator 3.916 2017-11-25 rev verilator_3_916

System Verilog target initiator handshake (TCP/IP SystemVerilog SHUNT)

14 |
15 | 16 | -------------------------------------------------------------------------------- /doc/files3/shunt_dpi-c-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File3:shunt_dpi.c","shunt_dpi.c");NDSummary.OnSummaryLoaded("File3:shunt_dpi.c",[["C/C++","C"]],[["Sections","Section"]],[[209,0,0,"shunt_dpi.c","shunt_dpi.c"]]); -------------------------------------------------------------------------------- /doc/files3/shunt_dpi-c-SummaryToolTips.js: -------------------------------------------------------------------------------- 1 | NDSummary.OnToolTipsLoaded("File3:shunt_dpi.c",{209:"
Copyright (c) 2016-2025 IC Verimeter. All rights reserved.
"}); -------------------------------------------------------------------------------- /doc/files3/shunt_dpi-c-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files3/shunt_dpi-c.html: -------------------------------------------------------------------------------- 1 |  2 | 3 | shunt_dpi.c 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 |
12 |
shunt_dpi.c
13 |

Copyright (c) 2016-2025 IC Verimeter. All rights reserved.

Licensed under the MIT License.

See LICENSE file in the project root for full license information.

Description : shunt dpi bridge System Verilog target server handshake (TCP/IP SystemVerilog SHUNT)

14 |
15 | 16 | -------------------------------------------------------------------------------- /doc/files3/shunt_hs_pkg-sv-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File3:shunt_hs_pkg.sv","shunt_hs_pkg.sv");NDSummary.OnSummaryLoaded("File3:shunt_hs_pkg.sv",[["SystemVerilog","SystemVerilog"]],[["Functions","Function"],["Groups","Group"],["Sections","Section"]],[[1,0,2,"shunt_hs_pkg.sv","shunt_hs_pkg.sv"],[2,0,1,"Functions","Functions"],[3,0,0,"shunt_hs_send_byteA","shunt_hs_send_byteA"],[4,0,0,"shunt_hs_recv_byteA","shunt_hs_recv_byteA"],[5,0,0,"shunt_hs_send_intA","shunt_hs_send_intA"],[6,0,0,"shunt_hs_recv_intA","shunt_hs_recv_intA"],[7,0,0,"shunt_hs_send_realA","shunt_hs_send_realA"],[8,0,0,"shunt_hs_recv_realA","shunt_hs_recv_realA"],[9,0,0,"shunt_send_realtime","shunt_send_realtime"],[10,0,0,"shunt_recv_realtime","shunt_recv_realtime"]]); -------------------------------------------------------------------------------- /doc/files6/py/sv2py/initiator/src/Initiator-sv-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files6/py/sv2py/target/src/Target-py-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/initiator/src/initiator-h-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sc/LT_simple_cs/initiator/src/initiator.h","initiator.h");NDSummary.OnSummaryLoaded("File6:sc/LT_simple_cs/initiator/src/initiator.h",[["C/C++","C"]],[["Classes","Class"],["Files","File"],["Functions","Function"],["Groups","Group"],["Variables","Variable"]],[[911,0,1,"initiator.h","initiator.h"],[912,0,0,"Initiator","Initiator"],[903,0,3,"Variables","Initiator.Variables"],[904,0,4,"socket","Initiator.socket"],[905,0,4,"m_socket","Initiator.m_socket"],[916,0,3,"Functions","Initiator.Functions"],[907,0,2,"Initiator","Initiator.Initiator"],[918,0,2,"thread_process","Initiator.thread_process"],[909,0,3,"Variables","Initiator.Variables(2)"],[910,0,4,"data","Initiator.data"]]); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/initiator/src/initiator-h-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({912:"
struct
Initiator
Purpose: Initiator module generating generic payload transactions.  Acts as a TLM-2 transaction initiator that communicates with a target/memory component over a socket connection.  In the client-server model, this acts as the server side.
"}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/initiator/src/shunt_define-h-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sc/LT_simple_cs/initiator/src/shunt_define.h","shunt_define.h");NDSummary.OnSummaryLoaded("File6:sc/LT_simple_cs/initiator/src/shunt_define.h",[["C/C++","C"]],[["Constants","Constant"],["Files","File"],["Groups","Group"]],[[921,0,1,"shunt_define.h","shunt_define.h"],[922,0,2,"Constants","Constants"],[923,0,0,"MY_HOST","MY_HOST"],[924,0,0,"MY_PORT","MY_PORT"]]); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/initiator/src/shunt_define-h-SummaryToolTips.js: -------------------------------------------------------------------------------- 1 | NDSummary.OnToolTipsLoaded("File6:sc/LT_simple_cs/initiator/src/shunt_define.h",{921:"
Description: Defines constants for network communication in the TLM-2 client-server example.
",923:"
The hostname or IP address for the server connection.  Set to "localhost" for local connections.
",924:"
The TCP port number used for the socket connection.  Port 3450 is used for this example.
"}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/initiator/src/shunt_define-h-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/initiator/src/target-h-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sc/LT_simple_cs/initiator/src/target.h","target.h");NDSummary.OnSummaryLoaded("File6:sc/LT_simple_cs/initiator/src/target.h",[["C/C++","C"]],[["Classes","Class"],["Constants","Constant"],["Files","File"],["Functions","Function"],["Groups","Group"],["Variables","Variable"]],[[450,0,2,"target.h","target.h"],[451,0,0,"Memory","Memory"],[452,0,4,"Variables","Memory.Variables"],[928,0,5,"socket","Memory.socket"],[929,0,4,"Constants","Memory.Constants"],[930,0,1,"SIZE","Memory.SIZE"],[931,0,4,"Functions","Memory.Functions"],[932,0,3,"Memory","Memory.Memory"],[933,0,3,"b_transport","Memory.b_transport"],[934,0,4,"Variables","Memory.Variables(2)"],[935,0,5,"mem","Memory.mem"]]); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/initiator/src/target-h-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({451:"
struct
Memory
Purpose: Target module representing a simple memory.  Acts as a TLM-2 transaction target that responds to read and write commands from an initiator component.
"}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/initiator/src/top-h-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sc/LT_simple_cs/initiator/src/top.h","top.h");NDSummary.OnSummaryLoaded("File6:sc/LT_simple_cs/initiator/src/top.h",[["C/C++","C"]],[["Classes","Class"],["Files","File"],["Functions","Function"],["Groups","Group"],["Variables","Variable"]],[[936,0,1,"top.h","top.h"],[937,0,0,"Top","Top"],[938,0,3,"Variables","Top.Variables"],[939,0,4,"initiator","Top.initiator"],[940,0,4,"memory","Top.memory"],[941,0,3,"Functions","Top.Functions"],[942,0,2,"Top","Top.Top"]]); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/initiator/src/top-h-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({451:"
struct
Memory
Purpose: Target module representing a simple memory.  Acts as a TLM-2 transaction target that responds to read and write commands from an initiator component.
",912:"
struct
Initiator
Purpose: Initiator module generating generic payload transactions.  Acts as a TLM-2 transaction initiator that communicates with a target/memory component over a socket connection.  In the client-server model, this acts as the server side.
"}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/target/src/initiator-h-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sc/LT_simple_cs/target/src/initiator.h","initiator.h");NDSummary.OnSummaryLoaded("File6:sc/LT_simple_cs/target/src/initiator.h",[["C/C++","C"]],[["Classes","Class"],["Files","File"],["Functions","Function"],["Groups","Group"],["Variables","Variable"]],[[466,0,1,"initiator.h","initiator.h"],[467,0,0,"Initiator","Initiator"],[457,0,3,"Variables","Initiator.Variables"],[458,0,4,"socket","Initiator.socket"],[462,0,4,"m_socket","Initiator.m_socket"],[906,0,3,"Functions","Initiator.Functions"],[463,0,2,"Initiator","Initiator.Initiator"],[908,0,2,"thread_process","Initiator.thread_process"],[464,0,3,"Variables","Initiator.Variables(2)"],[465,0,4,"data","Initiator.data"]]); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/target/src/initiator-h-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({912:"
struct
Initiator
Purpose: Initiator module generating generic payload transactions.  Acts as a TLM-2 transaction initiator that communicates with a target/memory component over a socket connection.  In the client-server model, this acts as the server side.
"}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/target/src/shunt_define-h-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sc/LT_simple_cs/target/src/shunt_define.h","shunt_define.h");NDSummary.OnSummaryLoaded("File6:sc/LT_simple_cs/target/src/shunt_define.h",[["C/C++","C"]],[["Files","File"],["Groups","Group"],["Variables","Variable"]],[[167,0,0,"shunt_define.h","shunt_define.h"],[913,0,1,"Variables","Variables"],[914,0,2,"MY_HOST","MY_HOST"],[915,0,2,"MY_PORT","MY_PORT"]]); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/target/src/shunt_define-h-SummaryToolTips.js: -------------------------------------------------------------------------------- 1 | NDSummary.OnToolTipsLoaded("File6:sc/LT_simple_cs/target/src/shunt_define.h",{167:"
Description: Defines constants for network communication in the TLM-2 client-server example.
",914:"
The hostname or IP address for the server connection.  Set to "localhost" for local connections.
",915:"
The TCP port number used for the socket connection.  Port 3450 is used for this example.
"}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/target/src/shunt_define-h-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/target/src/target-h-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sc/LT_simple_cs/target/src/target.h","target.h");NDSummary.OnSummaryLoaded("File6:sc/LT_simple_cs/target/src/target.h",[["C/C++","C"]],[["Classes","Class"],["Files","File"],["Functions","Function"],["Groups","Group"],["Variables","Variable"]],[[455,0,1,"target.h","target.h"],[456,0,0,"Memory","Memory"],[943,0,3,"Variables","Memory.Variables"],[944,0,4,"socket","Memory.socket"],[445,0,4,"SIZE","Memory.SIZE"],[461,0,3,"Functions","Memory.Functions"],[945,0,2,"Memory","Memory.Memory"],[946,0,2,"b_transport","Memory.b_transport"],[947,0,3,"Variables","Memory.Variables(2)"],[948,0,4,"mem","Memory.mem"]]); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/target/src/target-h-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({451:"
struct
Memory
Purpose: Target module representing a simple memory.  Acts as a TLM-2 transaction target that responds to read and write commands from an initiator component.
"}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/target/src/top-h-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sc/LT_simple_cs/target/src/top.h","top.h");NDSummary.OnSummaryLoaded("File6:sc/LT_simple_cs/target/src/top.h",[["C/C++","C"]],[["Classes","Class"],["Files","File"],["Functions","Function"],["Groups","Group"],["Variables","Variable"]],[[448,0,1,"top.h","top.h"],[449,0,0,"Top","Top"],[925,0,3,"Variables","Top.Variables"],[926,0,4,"initiator","Top.initiator"],[927,0,4,"memory","Top.memory"],[453,0,3,"Functions","Top.Functions"],[454,0,2,"Top","Top.Top"]]); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_cs/target/src/top-h-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({451:"
struct
Memory
Purpose: Target module representing a simple memory.  Acts as a TLM-2 transaction target that responds to read and write commands from an initiator component.
",912:"
struct
Initiator
Purpose: Initiator module generating generic payload transactions.  Acts as a TLM-2 transaction initiator that communicates with a target/memory component over a socket connection.  In the client-server model, this acts as the server side.
"}); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_sv/target/src/Target-sv-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sc/LT_simple_sv/target/src/Target.sv","Target.sv");NDSummary.OnSummaryLoaded("File6:sc/LT_simple_sv/target/src/Target.sv",[["SystemVerilog","SystemVerilog"]],[["Groups","Group"],["Modules","Module"],["Sections","Section"],["Variables","Variable"]],[[643,0,2,"Target.sv","Target.sv"],[644,0,1,"Target","Target"],[645,0,0,"Variables","Target.Variables"],[646,0,3,"clk_cnt","Target.clk_cnt"],[647,0,3,"mem_data","Target.mem_data"],[648,0,3,"mem_byte_enable","Target.mem_byte_enable"],[649,0,3,"mem_addr","Target.mem_addr"],[650,0,3,"mem_we","Target.mem_we"],[651,0,3,"mem_q","Target.mem_q"],[652,0,3,"end_sim","Target.end_sim"],[653,0,3,"start_sim","Target.start_sim"],[654,0,3,"trnx_in_progress","Target.trnx_in_progress"],[655,0,3,"clk_next","Target.clk_next"],[656,0,3,"sockid","Target.sockid"],[657,0,3,"h","Target.h"],[658,0,3,"h_ext","Target.h_ext"],[659,0,3,"h_ext_out","Target.h_ext_out"],[660,0,3,"data_in","Target.data_in"],[661,0,3,"byte_enable_in","Target.byte_enable_in"],[662,0,3,"data_out","Target.data_out"],[663,0,3,"byte_enable_out","Target.byte_enable_out"],[664,0,1,"memory","memory"],[665,0,0,"Variables","memory.Variables"],[666,0,3,"ram","memory.ram"],[667,0,3,"addr_reg","memory.addr_reg"]]); -------------------------------------------------------------------------------- /doc/files6/sc/LT_simple_sv/target/src/Target-sv-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files6/sv/handshake/initiator/src/Initiator-sv-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files6/sv/handshake/target/src/Target-sv-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sv/handshake/target/src/Target.sv","Target.sv");NDSummary.OnSummaryLoaded("File6:sv/handshake/target/src/Target.sv",[["SystemVerilog","SystemVerilog"]],[["Functions","Function"],["Groups","Group"],["Modules","Module"],["Packages","Package"],["Sections","Section"]],[[675,0,4,"Target.sv","Target.sv"],[676,0,3,"cs_common","cs_common"],[677,0,2,"Target","Target"],[678,0,1,"Functions","Target.Functions"],[679,0,0,"init_target","Target.init_target"],[680,0,0,"string_loopback_test","Target.string_loopback_test"],[681,0,0,"byte_loopback_test","Target.byte_loopback_test"],[682,0,0,"integer_loopback_test","Target.integer_loopback_test"],[683,0,0,"int_loopback_test","Target.int_loopback_test"],[684,0,0,"short_loopback_test","Target.short_loopback_test"],[685,0,0,"long_loopback_test","Target.long_loopback_test"],[686,0,0,"real_loopback_test","Target.real_loopback_test"],[687,0,0,"shortreal_loopback_test","Target.shortreal_loopback_test"],[688,0,0,"byteA_loopback_test","Target.byteA_loopback_test"],[689,0,0,"intA_loopback_test","Target.intA_loopback_test"],[690,0,0,"realA_loopback_test","Target.realA_loopback_test"],[691,0,0,"reg_loopback_test","Target.reg_loopback_test"],[692,0,0,"logic_loopback_test","Target.logic_loopback_test"],[693,0,0,"bit_loopback_test","Target.bit_loopback_test"]]); -------------------------------------------------------------------------------- /doc/files6/sv/handshake/target/src/Target-sv-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files6/sv/sv2sv/includes/cs_common-svh-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("File6:sv/sv2sv/includes/cs_common.svh","cs_common.svh");NDSummary.OnSummaryLoaded("File6:sv/sv2sv/includes/cs_common.svh",[["SystemVerilog","SystemVerilog"]],[["Constants","Constant"],["Files","File"],["Groups","Group"]],[[668,0,1,"cs_common.svh","cs_common.svh"],[669,0,2,"Constants","Constants"],[670,0,0,"MY_HOST","MY_HOST"],[671,0,0,"MY_PORT","MY_PORT"],[672,0,0,"V_SIZE","V_SIZE"],[673,0,0,"STRING_MESSAGE","STRING_MESSAGE"],[674,0,0,"STRING_MESSAGE1","STRING_MESSAGE1"]]); -------------------------------------------------------------------------------- /doc/files6/sv/sv2sv/includes/cs_common-svh-SummaryToolTips.js: -------------------------------------------------------------------------------- 1 | NDSummary.OnToolTipsLoaded("File6:sv/sv2sv/includes/cs_common.svh",{668:"
Common macro definitions for client-server communication
",670:"
Default hostname for socket connections (localhost)
",671:"
Default port number for socket connections (3450)
",672:"
Default vector size for data arrays (5 elements)
",673:"
Test string for string data type loopback tests
",674:"
Alternative test string for string data type loopback tests
"}); -------------------------------------------------------------------------------- /doc/files6/sv/sv2sv/includes/cs_common-svh-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files6/sv/sv2sv/initiator/src/Initiator-sv-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/files6/sv/sv2sv/target/src/Target-sv-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/menu/classes.js: -------------------------------------------------------------------------------- 1 | NDMenu.OnSectionLoaded("classes.js",[[2,"C/C++","CClass:",[[1,"Initiator"],[1,"Memory"],[1,"Top"]]],[2,"Python","PythonClass:",[[1,"cs_data_header"],[1,"cs_header"],[1,"Shunt"],[1,"SVpiVecVal"],[1,"Target"]]]]); -------------------------------------------------------------------------------- /doc/menu/modules.js: -------------------------------------------------------------------------------- 1 | NDMenu.OnSectionLoaded("modules.js",[[1,"Initiator"],[1,"memory"],[1,"Target"]]); -------------------------------------------------------------------------------- /doc/menu/packages.js: -------------------------------------------------------------------------------- 1 | NDMenu.OnSectionLoaded("packages.js",[[1,"cs_common"]]); -------------------------------------------------------------------------------- /doc/menu/tabs.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnLocationsLoaded([["File","files",0,"^File([0-9]*)$"],["Module","modules",1,"^([A-Za-z]+)Module$"],["Package","packages",1,"^([A-Za-z]+)Package$"],["Class","classes",1,"^([A-Za-z]+)Class$"]]);NDMenu.OnTabsLoaded([["File","Files",,"files.js"],["Module","Modules","SystemVerilogModule:","modules.js"],["Package","Classes","SystemVerilogPackage:","packages.js"],["Class","Classes",,"classes.js"]]); -------------------------------------------------------------------------------- /doc/modules/SystemVerilog/Initiator-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/modules/SystemVerilog/Target-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/modules/SystemVerilog/memory-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("SystemVerilogModule:memory","memory");NDSummary.OnSummaryLoaded("SystemVerilogModule:memory",[["SystemVerilog","SystemVerilog"]],[["Groups","Group"],["Modules","Module"],["Variables","Variable"]],[[664,0,1,"memory"],[665,0,0,"Variables","Variables"],[666,0,2,"ram","ram"],[667,0,2,"addr_reg","addr_reg"]]); -------------------------------------------------------------------------------- /doc/modules/SystemVerilog/memory-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/other/home.html: -------------------------------------------------------------------------------- 1 |  2 | 3 | SystemVerilog TCP/IP "IC Shunt" Documentation 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 |
SystemVerilog TCP/IP "IC Shunt"
Source Documentation
12 | 13 |
Copyright © 2016-2025 IC Verimeter
-------------------------------------------------------------------------------- /doc/packages/SystemVerilog/cs_common-Summary.js: -------------------------------------------------------------------------------- 1 | NDFramePage.OnPageTitleLoaded("SystemVerilogPackage:cs_common","cs_common");NDSummary.OnSummaryLoaded("SystemVerilogPackage:cs_common",[["SystemVerilog","SystemVerilog"]],[["Packages","Package"]],[[469,0,0,"cs_common"]]); -------------------------------------------------------------------------------- /doc/packages/SystemVerilog/cs_common-SummaryToolTips.js: -------------------------------------------------------------------------------- 1 | NDSummary.OnToolTipsLoaded("SystemVerilogPackage:cs_common",{469:"
Common macro and parameter definitions for the client-server implementation
"}); -------------------------------------------------------------------------------- /doc/packages/SystemVerilog/cs_common-ToolTips.js: -------------------------------------------------------------------------------- 1 | NDContentPage.OnToolTipsLoaded({}); -------------------------------------------------------------------------------- /doc/packages/SystemVerilog/cs_common.html: -------------------------------------------------------------------------------- 1 |  2 | 3 | cs_common 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 |
12 |
cs_common
13 |

Common macro and parameter definitions for the client-server implementation

14 |
15 | 16 | -------------------------------------------------------------------------------- /doc/search/index.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixIndexLoaded(["0","754","__i","add","and","api","are","aux","b_t","bit","bri","byt","c","cli","clk","com","cor","cs","cs_","dat","def","dpi","dyn","ele","end","exa","exc","fix","fun","h","h_","h_d","h_e","h_t","hea","i","i_","iee","ini","int","ip","is_","log","lon","m_s","mem","my_","non","pac","pas","pkt","pri","py","ram","rea","reg","res","s_m","ser","sho","shu","siz","soc","sta","str","suc","sup","sv","svh","svp","sys","tar","tas","tcp","tes","thr","tim","tlm","to","top","trn","typ","use","uti","v_s","vec","ver","xlo","xre"]); -------------------------------------------------------------------------------- /doc/search/keywords/0030.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("0",["Section"],[["0",,[[,"Data TLM2.0 utils",,,0,"File3:shunt_dpi_pkg.sv:Data_TLM2.0_utils"],[,"TLM2.0 defines",,,0,"File:shunt_typedef.h:TLM2.0_defines"],[,"TLM2.0 utils",,,0,"File:shunt_client_server.h:TLM2.0_utils"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/003700350034.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("754",["Section"],[["754",,[[,"non_integer_type IEEE 754",,,0,"File3:shunt_dpi_pkg.sv:non_integer_type_IEEE_754"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/005f005f0069.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("__i",["Function"],[["__init__",,[["Target",,,,0,"File6:py/sv2py/target/src/Target.py:Target.__init__","PythonClass:Target:__init__"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006100640064.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("add",["Variable"],[["addr_reg",,[["memory",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:memory.addr_reg","SystemVerilogModule:memory:addr_reg"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0061006e0064.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("and",["Section","Information"],[["and",,[[,"Data exchange structures and utilities","C/C++",,0,"File:shunt_client_server.h:Data_exchange_structures_and_utilities"],[,"Data exchange structures and utilities","SystemVerilog",,0,"File3:shunt_dpi_pkg.sv:Data_exchange_structures_and_utilities"],[,"Supported cs_header_t data_types and corresponding verilog data types are(element and vectors):",,,1,"File:shunt_user_api.h:Supported_cs_header_t_data_types_and_corresponding_verilog_data_types_are(element_and_vectors)_"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006100700069.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("api",["Section"],[["API",,[[,"Shunt-TLM API",,,0,"File4:shunt_tlm.h:Shunt-TLM_API"],[,"Shunt-TLM SystemC API",,,0,"File4:shunt_tlm.h:Shunt-TLM_SystemC_API"],[,"Utilities: USER API",,"utilities:user api",0,"File:shunt_user_api.h:Utilities_USER_API"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006100720065.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("are",["Information"],[["are(element",,[[,"Supported cs_header_t data_types and corresponding verilog data types are(element and vectors):",,,0,"File:shunt_user_api.h:Supported_cs_header_t_data_types_and_corresponding_verilog_data_types_are(element_and_vectors)_"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006100750078.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("aux",["Section"],[["Auxiliary",,[[,,,,0,"File4:shunt_tlm.h:Auxiliary"],[,"Auxiliary tasks",,,0,"File:shunt_client_server.h:Auxiliary_tasks"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0062005f0074.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("b_t",["Function"],[["b_transport",,[["Memory",,,,0,"File6:sc/LT_simple_cs/initiator/src/target.h:Memory.b_transport","CClass:Memory:b_transport"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006200720069.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("bri",["Section"],[["bridge",,[[,"Utilites: System Verilog to C dpi bridge",,"utilites:system verilog to c dpi bridge",0,"File3:shunt_dpi_pkg.sv:Utilites_System_Verilog_to_C_dpi_bridge"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0063.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("c",["Section"],[["c",,[[,"shunt_dpi.c",,,0,"File3:shunt_dpi.c:shunt_dpi.c"],[,"Utilites: System Verilog to C dpi bridge",,"utilites:system verilog to c dpi bridge",0,"File3:shunt_dpi_pkg.sv:Utilites_System_Verilog_to_C_dpi_bridge"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0063006c0069.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("cli",["Section"],[["Client",,[[,"TCP/IP Server/Client init",,,0,"File4:shunt_tlm.h:TCP/IP_Server/Client_init"]]],["Client-Initiator",,[[,"Utilities: Client-Initiator cs_header",,"utilities:client-initiator cs_header",0,"File:shunt_client_server.h:Utilities_Client-Initiator_cs_header"]]],["Client-Server",,[[,"Client-Server Primitives",,,0,"File:shunt_primitives.h:Client-Server_Primitives"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0063006c006b.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("clk",["Variable"],[["clk_cnt",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.clk_cnt","SystemVerilogModule:Target:clk_cnt"]]],["clk_next",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.clk_next","SystemVerilogModule:Target:clk_next"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0063006f006d.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("com",["Section","Function"],[["Common",,[[,"Common defines",,,0,"File:shunt_typedef.h:Common_defines"],[,"Common Functions",,,0,"File:shunt_primitives.h:Common_Functions"]]],["communication",,[[,"Fixed size packet communication Data exchange",,,0,"File:shunt_user_api.h:Fixed_size_packet_communication_Data_exchange"]]],["compare_shunt_header",,[[,,,,1,"File6:sv/sv2sv/initiator/src/Initiator.sv:compare_shunt_header"],["Initiator",,,,1,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.compare_shunt_header","SystemVerilogModule:Initiator:compare_shunt_header"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0063006f0072.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("cor",["Information"],[["corresponding",,[[,"Supported cs_header_t data_types and corresponding verilog data types are(element and vectors):",,,0,"File:shunt_user_api.h:Supported_cs_header_t_data_types_and_corresponding_verilog_data_types_are(element_and_vectors)_"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00630073.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("cs",["Section"],[["cs",,[[,"Data exchange (cs) TLM",,"data exchange(cs)tlm",0,"File2:shunt_dpi.h:Data_exchange(cs)TLM"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006400650066.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("def",["Section"],[["defines",,[[,"Common defines",,,0,"File:shunt_typedef.h:Common_defines"],[,"Data exchange defines",,,0,"File:shunt_typedef.h:Data_exchange_defines"],[,"TLM2.0 defines",,,0,"File:shunt_typedef.h:TLM2.0_defines"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006400700069.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("dpi",["Section"],[["dpi",,[[,"Utilites: System Verilog to C dpi bridge",,"utilites:system verilog to c dpi bridge",0,"File3:shunt_dpi_pkg.sv:Utilites_System_Verilog_to_C_dpi_bridge"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00640079006e.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("dyn",["Section"],[["dynamic",,[[,"Integer/Non integer dynamic vectors",,,0,"File3:shunt_dpi_pkg.sv:Integer/Non_integer_dynamic_vectors"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0065006c0065.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("ele",["Information"],[["elements:",,[[,"Verilog Data Types elements:",,,0,"File:shunt_client_server.h:Verilog_Data_Types_elements_"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0065006e0064.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("end",["Variable"],[["end_sim",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.end_sim","SystemVerilogModule:Target:end_sim"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006500780061.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("exa",["Function"],[["Example:",,[[,"Example:  target,initiator init",,"example:target,initiator init",0,"File:shunt_primitives.h:Example_target,initiator_init"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006500780063.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("exc",["Section"],[["exchange",,[[,"Data exchange",,,0,"File2:shunt_dpi.h:Data_exchange"],[,"Data exchange (cs) TLM",,"data exchange(cs)tlm",0,"File2:shunt_dpi.h:Data_exchange(cs)TLM"],[,"Data exchange defines",,,0,"File:shunt_typedef.h:Data_exchange_defines"],[,"Data exchange primitives",,,0,"File:shunt_primitives.h:Data_exchange_primitives"],[,"Data exchange structures and utilities","C/C++",,0,"File:shunt_client_server.h:Data_exchange_structures_and_utilities"],[,"Data exchange structures and utilities","SystemVerilog",,0,"File3:shunt_dpi_pkg.sv:Data_exchange_structures_and_utilities"],[,"Data exchange utilities",,,0,"File:shunt_client_server.h:Data_exchange_utilities"],[,"Fixed size packet communication Data exchange",,,0,"File:shunt_user_api.h:Fixed_size_packet_communication_Data_exchange"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006600690078.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("fix",["Section"],[["Fixed",,[[,"Fixed size packet communication Data exchange",,,0,"File:shunt_user_api.h:Fixed_size_packet_communication_Data_exchange"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00660075006e.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("fun",["Section"],[["Functions",,[[,"Common Functions",,,0,"File:shunt_primitives.h:Common_Functions"],[,"TCP/IP Functions",,,0,"File:shunt_client_server.h:TCP/IP_Functions"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0068.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("h",["Variable","File","Section"],[["h",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.h","SystemVerilogModule:Target:h"],[,"initiator.h",,,1,"File6:sc/LT_simple_cs/initiator/src/initiator.h:initiator.h"],[,"shunt_client_server.h",,,2,"File:shunt_client_server.h:shunt_client_server.h"],[,"shunt_define.h",,,1,"File6:sc/LT_simple_cs/initiator/src/shunt_define.h:shunt_define.h"],[,"shunt_dpi.h",,,2,"File2:shunt_dpi.h:shunt_dpi.h"],[,"shunt_primitives.h",,,2,"File:shunt_primitives.h:shunt_primitives.h"],[,"shunt_tlm.h",,,2,"File4:shunt_tlm.h:shunt_tlm.h"],[,"shunt_typedef.h",,,2,"File:shunt_typedef.h:shunt_typedef.h"],[,"shunt_user_api.h",,,2,"File:shunt_user_api.h:shunt_user_api.h"],[,"shunt_vcs_dpi.h",,,2,"File2:shunt_vcs_dpi.svh:shunt_vcs_dpi.h"],[,"shunt_verilator_dpi.h",,,2,"File2:shunt_verilator_dpi.svh:shunt_verilator_dpi.h"],[,"target.h",,,1,"File6:sc/LT_simple_cs/initiator/src/target.h:target.h"],[,"top.h",,,1,"File6:sc/LT_simple_cs/initiator/src/top.h:top.h"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0068005f.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("h_",["Variable"],[["h_",,[["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.h_","SystemVerilogModule:Target:h_"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0068005f0064.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("h_d",["Variable"],[["h_data",,[["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.h_data","SystemVerilogModule:Target:h_data"]]],["h_data_act",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.h_data_act","SystemVerilogModule:Initiator:h_data_act"]]],["h_data_exp",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.h_data_exp","SystemVerilogModule:Initiator:h_data_exp"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0068005f0065.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("h_e",["Variable"],[["h_ext",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.h_ext","SystemVerilogModule:Target:h_ext"]]],["h_ext_out",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.h_ext_out","SystemVerilogModule:Target:h_ext_out"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0068005f0074.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("h_t",["Variable"],[["h_trnx",,[["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.h_trnx","SystemVerilogModule:Target:h_trnx"]]],["h_trnx_act",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.h_trnx_act","SystemVerilogModule:Initiator:h_trnx_act"]]],["h_trnx_exp",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.h_trnx_exp","SystemVerilogModule:Initiator:h_trnx_exp"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006800650061.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("hea",["Function"],[["header_data_loopback_test",,[["Target",,,,0,"File6:py/sv2py/target/src/Target.py:Target.header_data_loopback_test","PythonClass:Target:header_data_loopback_test"]]],["header_loopback_test",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.header_loopback_test","SystemVerilogModule:Initiator:header_loopback_test"],["Target",,"Python",,0,"File6:py/sv2py/target/src/Target.py:Target.header_loopback_test","PythonClass:Target:header_loopback_test"],["Target",,"SystemVerilog",,0,"File6:sv/sv2sv/target/src/Target.sv:Target.header_loopback_test","SystemVerilogModule:Target:header_loopback_test"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0069.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("i",["Variable"],[["i",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.i","SystemVerilogModule:Initiator:i"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0069005f.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("i_",["Variable"],[["i_",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.i_","SystemVerilogModule:Initiator:i_"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006900650065.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("iee",["Section"],[["IEEE",,[[,"non_integer_type IEEE 754",,,0,"File3:shunt_dpi_pkg.sv:non_integer_type_IEEE_754"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00690070.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("ip",["Section"],[["IP",,[[,"TCP/IP Functions",,,0,"File:shunt_client_server.h:TCP/IP_Functions"],[,"TCP/IP Server/Client init",,,0,"File4:shunt_tlm.h:TCP/IP_Server/Client_init"],[,"TCP/IP socket Target , Initiator initialization",,"tcp/ip socket target,initiator initialization",0,"File2:shunt_dpi.h:TCP/IP_socket_Target,Initiator_initialization"],[,"TCP/IP target/initiator init",,,0,"File3:shunt_dpi_pkg.sv:TCP/IP_target/initiator_init"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00690073005f.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("is_",["Function"],[["is_shunt_tlm_end_sim",,[[,,,,0,"File4:shunt_tlm.h:is_shunt_tlm_end_sim"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006d005f0073.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("m_s",["Variable"],[["m_socket",,[["Initiator",,,,0,"File6:sc/LT_simple_cs/initiator/src/initiator.h:Initiator.m_socket","CClass:Initiator:m_socket"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006d0065006d.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("mem",["Variable","Module","Class"],[["mem",,[["Memory",,,,0,"File6:sc/LT_simple_cs/initiator/src/target.h:Memory.mem","CClass:Memory:mem"]]],["mem_addr",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.mem_addr","SystemVerilogModule:Target:mem_addr"]]],["mem_byte_enable",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.mem_byte_enable","SystemVerilogModule:Target:mem_byte_enable"]]],["mem_data",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.mem_data","SystemVerilogModule:Target:mem_data"]]],["mem_q",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.mem_q","SystemVerilogModule:Target:mem_q"]]],["mem_we",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.mem_we","SystemVerilogModule:Target:mem_we"]]],["Memory",,[[,"memory",,,1,"File6:sc/LT_simple_sv/target/src/Target.sv:memory","SystemVerilogModule:memory"],[,,,,2,"File6:sc/LT_simple_cs/initiator/src/target.h:Memory","CClass:Memory"],["Top","memory",,,0,"File6:sc/LT_simple_cs/initiator/src/top.h:Top.memory","CClass:Top:memory"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006d0079005f.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("my_",["Constant"],[["MY_HOST",,[[,,"C/C++",,0,"File6:sc/LT_simple_cs/initiator/src/shunt_define.h:MY_HOST"],[,,"SystemVerilog",,0,"File6:sv/sv2sv/includes/cs_common.svh:MY_HOST"]]],["MY_PORT",,[[,,"C/C++",,0,"File6:sc/LT_simple_cs/initiator/src/shunt_define.h:MY_PORT"],[,,"SystemVerilog",,0,"File6:sv/sv2sv/includes/cs_common.svh:MY_PORT"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/006e006f006e.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("non",["Section"],[["Non",,[[,"Integer/Non integer dynamic vectors",,,0,"File3:shunt_dpi_pkg.sv:Integer/Non_integer_dynamic_vectors"],[,"System (non TCP) utils","C/C++","system(non tcp)utils",0,"File2:shunt_dpi.h:System(non_TCP)utils"],[,"System (non TCP) utils","SystemVerilog","system(non tcp)utils",0,"File3:shunt_dpi_pkg.sv:System(non_TCP)utils"]]],["non_integer_type",,[[,"non_integer_type IEEE 754",,,0,"File3:shunt_dpi_pkg.sv:non_integer_type_IEEE_754"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007000610063.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("pac",["Section"],[["packet",,[[,"Fixed size packet communication Data exchange",,,0,"File:shunt_user_api.h:Fixed_size_packet_communication_Data_exchange"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007000610073.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("pas",["Variable"],[["Pass",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.Pass","SystemVerilogModule:Initiator:Pass"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0070006b0074.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("pkt",["Variable","Function"],[["Pkt_longv",,[["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.Pkt_longv","SystemVerilogModule:Target:Pkt_longv"]]],["Pkt_longv_act",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.Pkt_longv_act","SystemVerilogModule:Initiator:Pkt_longv_act"]]],["Pkt_longv_exp",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.Pkt_longv_exp","SystemVerilogModule:Initiator:Pkt_longv_exp"]]],["pkt_longV_loopback_test",,[[,,,,1,"File6:sv/sv2sv/initiator/src/Initiator.sv:pkt_longV_loopback_test"],["Initiator",,,,1,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.pkt_longV_loopback_test","SystemVerilogModule:Initiator:pkt_longV_loopback_test"],["Target",,,,1,"File6:sv/sv2sv/target/src/Target.sv:Target.pkt_longV_loopback_test","SystemVerilogModule:Target:pkt_longV_loopback_test"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007000720069.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("pri",["Section","Function"],[["Primitives",,[[,"Client-Server Primitives",,,0,"File:shunt_primitives.h:Client-Server_Primitives"],[,"Data exchange primitives",,,0,"File:shunt_primitives.h:Data_exchange_primitives"]]],["print_shunt_data_header",,[["Target",,,,1,"File6:py/sv2py/target/src/Target.py:Target.print_shunt_data_header","PythonClass:Target:print_shunt_data_header"]]],["print_shunt_header",,[["Initiator",,,,1,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.print_shunt_header","SystemVerilogModule:Initiator:print_shunt_header"],["Target",,"Python",,1,"File6:py/sv2py/target/src/Target.py:Target.print_shunt_header","PythonClass:Target:print_shunt_header"],["Target",,"SystemVerilog",,1,"File6:sv/sv2sv/target/src/Target.sv:Target.print_shunt_header","SystemVerilogModule:Target:print_shunt_header"]]],["print_status",,[[,,,,1,"File6:sv/sv2sv/initiator/src/Initiator.sv:print_status"],["Initiator",,,,1,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.print_status","SystemVerilogModule:Initiator:print_status"]]],["print_structure",,[["cs_data_header",,,,1,"File5:shunt.py:cs_data_header.print_structure","PythonClass:cs_data_header:print_structure"],["cs_header",,,,1,"File5:shunt.py:cs_header.print_structure","PythonClass:cs_header:print_structure"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00700079.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("py",["Section"],[["py",,[[,"shunt.py",,,0,"File5:shunt.py:shunt.py"],[,"Target.py",,,0,"File6:py/sv2py/target/src/Target.py:Target.py"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00720061006d.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("ram",["Variable"],[["ram",,[["memory",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:memory.ram","SystemVerilogModule:memory:ram"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007200650073.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("res",["Variable"],[["Result",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.Result","SystemVerilogModule:Initiator:Result"],["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.Result","SystemVerilogModule:Target:Result"]]],["Result_",,[["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.Result_","SystemVerilogModule:Target:Result_"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0073005f006d.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("s_m",["Variable"],[["s_me",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.s_me","SystemVerilogModule:Initiator:s_me"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007300650072.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("ser",["Section"],[["Server",,[[,"TCP/IP Server/Client init",,,0,"File4:shunt_tlm.h:TCP/IP_Server/Client_init"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00730069007a.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("siz",["Variable","Constant","Section"],[["size",,[["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.size","SystemVerilogModule:Target:size"],["Memory","SIZE",,,1,"File6:sc/LT_simple_cs/initiator/src/target.h:Memory.SIZE","CClass:Memory:SIZE"],[,"Fixed size packet communication Data exchange",,,2,"File:shunt_user_api.h:Fixed_size_packet_communication_Data_exchange"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0073006f0063.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("soc",["Variable","Section"],[["Socket",,[["Initiator","socket",,,0,"File6:sc/LT_simple_cs/initiator/src/initiator.h:Initiator.socket","CClass:Initiator:socket"],["Memory","socket",,,0,"File6:sc/LT_simple_cs/initiator/src/target.h:Memory.socket","CClass:Memory:socket"],["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.Socket","SystemVerilogModule:Initiator:Socket"],["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.Socket","SystemVerilogModule:Target:Socket"],[,"TCP/IP socket Target , Initiator initialization",,"tcp/ip socket target,initiator initialization",1,"File2:shunt_dpi.h:TCP/IP_socket_Target,Initiator_initialization"]]],["sockid",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.sockid","SystemVerilogModule:Target:sockid"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007300740061.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("sta",["Variable"],[["start_sim",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.start_sim","SystemVerilogModule:Target:start_sim"]]],["Status",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.Status","SystemVerilogModule:Initiator:Status"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007300740072.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("str",["Variable","Function","Constant","Section"],[["String",,[["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.String","SystemVerilogModule:Target:String"]]],["String_act",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.String_act","SystemVerilogModule:Initiator:String_act"]]],["String_exp",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.String_exp","SystemVerilogModule:Initiator:String_exp"]]],["string_loopback_test",,[[,,,,1,"File6:sv/sv2sv/initiator/src/Initiator.sv:string_loopback_test"],["Initiator",,,,1,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.string_loopback_test","SystemVerilogModule:Initiator:string_loopback_test"],["Target",,"Python",,1,"File6:py/sv2py/target/src/Target.py:Target.string_loopback_test","PythonClass:Target:string_loopback_test"],["Target",,"SystemVerilog",,1,"File6:sv/handshake/target/src/Target.sv:Target.string_loopback_test","SystemVerilogModule:Target:string_loopback_test"]]],["STRING_MESSAGE",,[[,,,,2,"File6:sv/sv2sv/includes/cs_common.svh:STRING_MESSAGE"]]],["STRING_MESSAGE1",,[[,,,,2,"File6:sv/sv2sv/includes/cs_common.svh:STRING_MESSAGE1"]]],["structures",,[[,"Data exchange structures and utilities","C/C++",,3,"File:shunt_client_server.h:Data_exchange_structures_and_utilities"],[,"Data exchange structures and utilities","SystemVerilog",,3,"File3:shunt_dpi_pkg.sv:Data_exchange_structures_and_utilities"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007300750063.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("suc",["Variable"],[["success",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.success","SystemVerilogModule:Initiator:success"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007300750070.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("sup",["Information"],[["Supported",,[[,"Supported cs_header_t data_types and corresponding verilog data types are(element and vectors):",,,0,"File:shunt_user_api.h:Supported_cs_header_t_data_types_and_corresponding_verilog_data_types_are(element_and_vectors)_"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00730076.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("sv",["Section"],[["sv",,[[,"Initiator.sv",,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.sv"],[,"shunt_dpi_pkg.sv",,,0,"File3:shunt_dpi_pkg.sv:shunt_dpi_pkg.sv"],[,"shunt_hs_pkg.sv",,,0,"File3:shunt_hs_pkg.sv:shunt_hs_pkg.sv"],[,"Target.sv",,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.sv"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007300760068.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("svh",["File"],[["svh",,[[,"cs_common.svh",,,0,"File6:sv/sv2sv/includes/cs_common.svh:cs_common.svh"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007300760070.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("svp",["Class"],[["SVpiVecVal",,[[,,,,0,"File5:shunt.py:SVpiVecVal","PythonClass:SVpiVecVal"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007300790073.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("sys",["Section"],[["System",,[[,"System (non TCP) utils","C/C++","system(non tcp)utils",0,"File2:shunt_dpi.h:System(non_TCP)utils"],[,"System (non TCP) utils","SystemVerilog","system(non tcp)utils",0,"File3:shunt_dpi_pkg.sv:System(non_TCP)utils"],[,"Utilites: System Verilog to C dpi bridge",,"utilites:system verilog to c dpi bridge",0,"File3:shunt_dpi_pkg.sv:Utilites_System_Verilog_to_C_dpi_bridge"]]],["SystemC",,[[,"Shunt-TLM SystemC API",,,0,"File4:shunt_tlm.h:Shunt-TLM_SystemC_API"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007400610072.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("tar",["Class","Module","File","Section","Function"],[["Target",,[[,,"Python",,0,"File6:py/sv2py/target/src/Target.py:Target","PythonClass:Target"],[,,"SystemVerilog",,1,"File6:sc/LT_simple_sv/target/src/Target.sv:Target","SystemVerilogModule:Target"],[,"target.h",,,2,"File6:sc/LT_simple_cs/initiator/src/target.h:target.h"],[,"Target.py",,,3,"File6:py/sv2py/target/src/Target.py:Target.py"],[,"Target.sv",,,3,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.sv"],[,"TCP/IP socket Target , Initiator initialization",,"tcp/ip socket target,initiator initialization",3,"File2:shunt_dpi.h:TCP/IP_socket_Target,Initiator_initialization"],[,"TCP/IP target/initiator init",,,3,"File3:shunt_dpi_pkg.sv:TCP/IP_target/initiator_init"]]],["target,initiator",,[[,"Example:  target,initiator init",,"example:target,initiator init",4,"File:shunt_primitives.h:Example_target,initiator_init"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007400610073.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("tas",["Section"],[["tasks",,[[,"Auxiliary tasks",,,0,"File:shunt_client_server.h:Auxiliary_tasks"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007400630070.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("tcp",["Section"],[["TCP",,[[,"TCP/IP Functions",,,0,"File:shunt_client_server.h:TCP/IP_Functions"],[,"TCP/IP Server/Client init",,,0,"File4:shunt_tlm.h:TCP/IP_Server/Client_init"],[,"TCP/IP socket Target , Initiator initialization",,"tcp/ip socket target,initiator initialization",0,"File2:shunt_dpi.h:TCP/IP_socket_Target,Initiator_initialization"],[,"TCP/IP target/initiator init",,,0,"File3:shunt_dpi_pkg.sv:TCP/IP_target/initiator_init"],[,"System (non TCP) utils","C/C++","system(non tcp)utils",0,"File2:shunt_dpi.h:System(non_TCP)utils"],[,"System (non TCP) utils","SystemVerilog","system(non tcp)utils",0,"File3:shunt_dpi_pkg.sv:System(non_TCP)utils"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007400650073.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("tes",["Variable"],[["Test_name",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.Test_name","SystemVerilogModule:Initiator:Test_name"],["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.Test_name","SystemVerilogModule:Target:Test_name"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007400680072.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("thr",["Function"],[["thread_process",,[["Initiator",,,,0,"File6:sc/LT_simple_cs/initiator/src/initiator.h:Initiator.thread_process","CClass:Initiator:thread_process"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00740069006d.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("tim",["Variable","Function"],[["Time",,[["Target",,,,0,"File6:sv/sv2sv/target/src/Target.sv:Target.Time","SystemVerilogModule:Target:Time"]]],["Time_act",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.Time_act","SystemVerilogModule:Initiator:Time_act"]]],["Time_exp",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.Time_exp","SystemVerilogModule:Initiator:Time_exp"]]],["time_loopback_test",,[[,,,,1,"File6:sv/sv2sv/initiator/src/Initiator.sv:time_loopback_test"],["Initiator",,,,1,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.time_loopback_test","SystemVerilogModule:Initiator:time_loopback_test"],["Target",,"Python",,1,"File6:py/sv2py/target/src/Target.py:Target.time_loopback_test","PythonClass:Target:time_loopback_test"],["Target",,"SystemVerilog",,1,"File6:sv/sv2sv/target/src/Target.sv:Target.time_loopback_test","SystemVerilogModule:Target:time_loopback_test"]]],["timeN_loopback_test",,[["Target",,,,1,"File6:py/sv2py/target/src/Target.py:Target.timeN_loopback_test","PythonClass:Target:timeN_loopback_test"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0074006c006d.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("tlm",["Section","Enumeration"],[["TLM",,[[,"Data exchange (cs) TLM",,"data exchange(cs)tlm",0,"File2:shunt_dpi.h:Data_exchange(cs)TLM"]]],["tlm_gp_option",,[[,,,,1,"File:shunt_typedef.h:tlm_gp_option"]]],["tlm_phase_enum",,[[,,,,1,"File:shunt_typedef.h:tlm_phase_enum"]]],["tlm_response_status",,[[,,,,1,"File:shunt_typedef.h:tlm_response_status"]]],["tlm_sync_enum",,[[,,,,1,"File:shunt_typedef.h:tlm_sync_enum"]]],["TLM2",,[[,"TLM2.0 defines",,,0,"File:shunt_typedef.h:TLM2.0_defines"],[,"TLM2.0 utils",,,0,"File:shunt_client_server.h:TLM2.0_utils"],[,"Data TLM2.0 utils",,,0,"File3:shunt_dpi_pkg.sv:Data_TLM2.0_utils"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0074006f.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("to",["Section"],[["to",,[[,"Utilites: System Verilog to C dpi bridge",,"utilites:system verilog to c dpi bridge",0,"File3:shunt_dpi_pkg.sv:Utilites_System_Verilog_to_C_dpi_bridge"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0074006f0070.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("top",["Class","File"],[["Top",,[[,,,,0,"File6:sc/LT_simple_cs/initiator/src/top.h:Top","CClass:Top"],[,"top.h",,,1,"File6:sc/LT_simple_cs/initiator/src/top.h:top.h"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/00740072006e.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("trn",["Variable"],[["trnx_in_progress",,[["Target",,,,0,"File6:sc/LT_simple_sv/target/src/Target.sv:Target.trnx_in_progress","SystemVerilogModule:Target:trnx_in_progress"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007400790070.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("typ",["Section","Information"],[["Types",,[[,"Integer types",,,0,"File3:shunt_dpi_pkg.sv:Integer_types"],[,"Integer vector types",,,0,"File3:shunt_dpi_pkg.sv:Integer_vector_types"],[,"Supported cs_header_t data_types and corresponding verilog data types are(element and vectors):",,,1,"File:shunt_user_api.h:Supported_cs_header_t_data_types_and_corresponding_verilog_data_types_are(element_and_vectors)_"],[,"Verilog Data Types elements:",,,1,"File:shunt_client_server.h:Verilog_Data_Types_elements_"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007500730065.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("use",["Section"],[["USER",,[[,"Utilities: USER API",,"utilities:user api",0,"File:shunt_user_api.h:Utilities_USER_API"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007500740069.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("uti",["Section"],[["Utilites",,[[,"Utilites: System Verilog to C dpi bridge",,"utilites:system verilog to c dpi bridge",0,"File3:shunt_dpi_pkg.sv:Utilites_System_Verilog_to_C_dpi_bridge"]]],["Utilities",,[[,"Utilities: Client-Initiator cs_header",,"utilities:client-initiator cs_header",0,"File:shunt_client_server.h:Utilities_Client-Initiator_cs_header"],[,"Utilities: USER API",,"utilities:user api",0,"File:shunt_user_api.h:Utilities_USER_API"],[,"Data exchange structures and utilities","C/C++",,0,"File:shunt_client_server.h:Data_exchange_structures_and_utilities"],[,"Data exchange structures and utilities","SystemVerilog",,0,"File3:shunt_dpi_pkg.sv:Data_exchange_structures_and_utilities"],[,"Data exchange utilities",,,0,"File:shunt_client_server.h:Data_exchange_utilities"]]],["utils",,[[,"Data TLM2.0 utils",,,0,"File3:shunt_dpi_pkg.sv:Data_TLM2.0_utils"],[,"System (non TCP) utils","C/C++","system(non tcp)utils",0,"File2:shunt_dpi.h:System(non_TCP)utils"],[,"System (non TCP) utils","SystemVerilog","system(non tcp)utils",0,"File3:shunt_dpi_pkg.sv:System(non_TCP)utils"],[,"TLM2.0 utils",,,0,"File:shunt_client_server.h:TLM2.0_utils"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0076005f0073.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("v_s",["Constant"],[["V_SIZE",,[[,,,,0,"File6:sv/sv2sv/includes/cs_common.svh:V_SIZE"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007600650063.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("vec",["Section","Information"],[["vector",,[[,"Integer vector types",,,0,"File3:shunt_dpi_pkg.sv:Integer_vector_types"]]],["Vectors",,[[,,,,0,"File3:shunt_dpi_pkg.sv:Vectors"],[,"Integer/Non integer dynamic vectors",,,0,"File3:shunt_dpi_pkg.sv:Integer/Non_integer_dynamic_vectors"]]],["vectors):",,[[,"Supported cs_header_t data_types and corresponding verilog data types are(element and vectors):",,,1,"File:shunt_user_api.h:Supported_cs_header_t_data_types_and_corresponding_verilog_data_types_are(element_and_vectors)_"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007600650072.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("ver",["Information","Section"],[["Verilog",,[[,"Verilog Data Types elements:",,,0,"File:shunt_client_server.h:Verilog_Data_Types_elements_"],[,"Supported cs_header_t data_types and corresponding verilog data types are(element and vectors):",,,0,"File:shunt_user_api.h:Supported_cs_header_t_data_types_and_corresponding_verilog_data_types_are(element_and_vectors)_"],[,"Utilites: System Verilog to C dpi bridge",,"utilites:system verilog to c dpi bridge",1,"File3:shunt_dpi_pkg.sv:Utilites_System_Verilog_to_C_dpi_bridge"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/0078006c006f.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("xlo",["Variable"],[["XLogicN_exp",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.XLogicN_exp","SystemVerilogModule:Initiator:XLogicN_exp"]]]]); -------------------------------------------------------------------------------- /doc/search/keywords/007800720065.js: -------------------------------------------------------------------------------- 1 | NDSearch.OnPrefixDataLoaded("xre",["Variable"],[["XRegNV_exp",,[["Initiator",,,,0,"File6:py/sv2py/initiator/src/Initiator.sv:Initiator.XRegNV_exp","SystemVerilogModule:Initiator:XRegNV_exp"]]]]); -------------------------------------------------------------------------------- /doc/shunt_logo.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/shunt_logo.png -------------------------------------------------------------------------------- /doc/shut_log_min.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/shut_log_min.png -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-folder-arrow-dark-hover.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-folder-arrow-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-folder-arrow.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-loading-black.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/styles/Default/images/menu-loading-black.gif -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-loading-dark.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/styles/Default/images/menu-loading-dark.gif -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-loading.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/styles/Default/images/menu-loading.gif -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-classes-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-classes-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-classes-dark-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-classes-dark-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-classes-dark-selected-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-classes-dark-selected-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-classes-dark-selected.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-classes-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-classes.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-database-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-database-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-database-dark-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-database-dark-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-database-dark-selected-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-database-dark-selected-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-database-dark-selected.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-database-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-database.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-files-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-files-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-files-dark-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-files-dark-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-files-dark-selected-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-files-dark-selected-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-files-dark-selected.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-files-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-files.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-modules-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21 | 22 | 23 | 24 | 25 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-modules-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-modules-dark-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21 | 22 | 23 | 24 | 25 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-modules-dark-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-modules-dark-selected-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | 20 | 21 | 22 | 23 | 24 | 25 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-modules-dark-selected-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-modules-dark-selected.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-modules-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-modules.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | 19 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-packages-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-packages-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-packages-dark-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-packages-dark-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-packages-dark-selected-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-packages-dark-selected-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-packages-dark-selected.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-packages-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/menu-tab-packages.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-black.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-class-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-class-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-class-black-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-class-black-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-class-black.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-class-dark-125.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-class-dark-150.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-class-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-class.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-group-arrow-black.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-group-arrow-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search-group-arrow.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/search.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | -------------------------------------------------------------------------------- /doc/styles/Default/images/summary-loading-dark.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/styles/Default/images/summary-loading-dark.gif -------------------------------------------------------------------------------- /doc/styles/Default/images/summary-loading.gif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/doc/styles/Default/images/summary-loading.gif -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-menu-autolightblack.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-menu-autolightdark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-menu-black.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-menu-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-menu-light.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 | 16 | 17 | 18 | -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-switcher-black.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-switcher-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-switcher-hover-black.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-switcher-hover-dark.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-switcher-hover.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/Default/images/theme-switcher.svg: -------------------------------------------------------------------------------- 1 | 2 | 3 | 4 | 5 | -------------------------------------------------------------------------------- /doc/styles/main.css: -------------------------------------------------------------------------------- 1 | @import URL("Default/Default.css"); -------------------------------------------------------------------------------- /doc/styles/main.js: -------------------------------------------------------------------------------- 1 | "use strict";var NDLoader=new function(){this.JSLinks_All=["DefaultJS/NDCore.js","DefaultJS/NDThemes.js"];this.JSLinks_Frame=["DefaultJS/NDFramePage.js","DefaultJS/NDMenu.js","DefaultJS/NDSummary.js","DefaultJS/NDSearch.js"];this.JSLinks_Content=["DefaultJS/NDContentPage.js"];this.JSLinks_Home=["DefaultJS/NDHomePage.js"];this.LoadJS=function(pageType,relativePrefix){this.LoadJSArray(this.JSLinks_All,relativePrefix);this.LoadJSArray(this['JSLinks_'+pageType],relativePrefix);};this.LoadJSArray=function(links,relativePrefix){if(navigator.userAgent.indexOf('KHTML')!=-1){for(var i=0;i');}}else{var head=document.getElementsByTagName('head')[0];for(var i=0;i" 3 | compile_verilator_sv: 4 | cd ../bin && ${VERILATOR} -Wall ../../includes/cs_common.svh $(SHUNT_VERILATOR_FLAGS) ../src/Initiator.sv --exe ../../makedir/sim_main.cpp 5 | cd ../bin && make -C obj_dir -j -f Vcs_common.mk Vcs_common 6 | .PHONY: clean 7 | clean: 8 | cd ../bin && rm -rf * 9 | all: compile_sv 10 | -------------------------------------------------------------------------------- /examples/py/sv2py/initiator/makedir/run: -------------------------------------------------------------------------------- 1 | cd ../bin 2 | echo ${PWD} "" 3 | -------------------------------------------------------------------------------- /examples/py/sv2py/initiator/makedir/verilator_run: -------------------------------------------------------------------------------- 1 | cd ../bin 2 | obj_dir/Vcs_common 3 | -------------------------------------------------------------------------------- /examples/py/sv2py/makedir/Makefile: -------------------------------------------------------------------------------- 1 | include ./Sim.mk 2 | -include ../../../../utils/makefile.init 3 | 4 | 5 | SHUNT_VERILATOR_FLAGS = -CFLAGS "-I${SHUNT_HOME}/utils/dpi/include" -CFLAGS "-I${SHUNT_HOME}/utils/c/include" -cc ${SHUNT_HOME}/utils/dpi/include/shunt_verilator_dpi.svh ${SHUNT_HOME}/utils/dpi/src/shunt_dpi_pkg.sv -LDFLAGS "${SHUNT_HOME}/bin/libutils.so" 6 | 7 | export SHUNT_VERILATOR_FLAGS 8 | 9 | ifeq (${VERILATOR},) 10 | export VERILATOR = verilator 11 | endif 12 | 13 | build_c: 14 | cd ${SHUNT_HOME}/utils/makedir && $(MAKE) clean && $(MAKE) all 15 | 16 | build_sv: 17 | ifeq ($(SIM),verilator) 18 | cd ../initiator/makedir && $(MAKE) clean && $(MAKE) compile_$(SIM)_sv 19 | else 20 | cd ../initiator/makedir && $(MAKE) clean && $(MAKE) $(SIM) 21 | endif 22 | 23 | .PHONY: run_initiator 24 | run_initiator: 25 | cd ../initiator/makedir && ./$(SIM)_run 26 | 27 | .PHONY: clean 28 | clean: 29 | cd ${SHUNT_HOME}/bin && rm -rf * 30 | cd ../initiator/makedir && $(MAKE) clean 31 | all: clean build_c build_sv 32 | 33 | -------------------------------------------------------------------------------- /examples/py/sv2py/makedir/Sim.mk: -------------------------------------------------------------------------------- 1 | SHUNT_VERILATOR_FLAGS = -CFLAGS "-I${SHUNT_HOME}/utils/dpi/include" -CFLAGS "-I${SHUNT_HOME}/utils/c/include" -cc ${SHUNT_HOME}/utils/dpi/include/shunt_verilator_dpi.svh ${SHUNT_HOME}/utils/dpi/src/shunt_dpi_pkg.sv -LDFLAGS "${SHUNT_HOME}/bin/libutils.so" 2 | -------------------------------------------------------------------------------- /examples/py/sv2py/makedir/run: -------------------------------------------------------------------------------- 1 | make clean build_c build_sv SIM=verilator 2 | make run_initiator SIM=verilator& 3 | python3 ../target/src/Target.py 4 | -------------------------------------------------------------------------------- /examples/py/sv2py/makedir/run_debug: -------------------------------------------------------------------------------- 1 | make build_sv SIM=verilator 2 | make run_initiator SIM=verilator& 3 | python3 ../target/src/Target.py 4 | -------------------------------------------------------------------------------- /examples/py/sv2py/makedir/sim_main.cpp: -------------------------------------------------------------------------------- 1 | #include "Vcs_common.h" 2 | #include "verilated.h" 3 | int main(int argc, char **argv, char **env) { 4 | Verilated::commandArgs(argc, argv); 5 | Vcs_common* top = new Vcs_common; 6 | while (!Verilated::gotFinish()) { top->eval(); } 7 | delete top; 8 | exit(0); 9 | } 10 | -------------------------------------------------------------------------------- /examples/py/sv2py/makedir/verilator_run: -------------------------------------------------------------------------------- 1 | make SIM=verilator build_sv 2 | make SIM=verilator run_target& 3 | make SIM=verilator run_initiator 4 | 5 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/initiator/bin/.gitkeep: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/examples/sc/LT_simple_cs/initiator/bin/.gitkeep -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/initiator/makedir/objects.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | USER_OBJS := 6 | 7 | LIBS := -lsystemc 8 | 9 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/initiator/makedir/sources.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | C_UPPER_SRCS := 6 | CXX_SRCS := 7 | C++_SRCS := 8 | OBJ_SRCS := 9 | CC_SRCS := 10 | ASM_SRCS := 11 | CPP_SRCS := 12 | C_SRCS := 13 | O_SRCS := 14 | S_UPPER_SRCS := 15 | CC_DEPS := 16 | C++_DEPS := 17 | EXECUTABLES := 18 | C_UPPER_DEPS := 19 | CXX_DEPS := 20 | OBJS := 21 | CPP_DEPS := 22 | C_DEPS := 23 | 24 | # Every subdirectory with source files must be described here 25 | SUBDIRS := \ 26 | . \ 27 | 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/initiator/makedir/subdir.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | # Add inputs and outputs from these tool invocations to the build variables 6 | CPP_SRCS += \ 7 | ../design.cpp \ 8 | ../testbench.cpp 9 | 10 | OBJS += \ 11 | ../bin/design.o \ 12 | ../bin//testbench.o 13 | 14 | CPP_DEPS += \ 15 | ../bin/design.d \ 16 | ../bin/testbench.d 17 | 18 | 19 | # Each subdirectory must supply rules for building sources it contributes 20 | %.o: ../src/%.cpp 21 | @echo 'Building file: $<' 22 | @echo 'Invoking: GCC C++ Compiler ./examples/sc/LT_simple_cs/initiator/makedir/subdir.mk:22' 23 | g++ $(USER_OPT) -I$(SYSTEMC_HOME) -I$(SYSTEMC_HOME)/include -I$(SHUNT_HOME)/utils/sc/src -I$(SHUNT_HOME)/utils/c/include -I$(SHUNT_HOME)/utils/c/src -O0 -g3 -Wall -Wno-overloaded-virtual -c -fmessage-length=0 -MMD -MP -MF"$(@:%.o=%.d)" -MT"$(@)" -o "$@" "$<" 24 | @echo 'Finished building: $<' 25 | @echo ' ' 26 | 27 | 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/initiator/src/design.cpp: -------------------------------------------------------------------------------- 1 | // Code your design here. 2 | // Uncomment the next line for SystemC modules. 3 | // #include "systemc.h" 4 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/initiator/src/shunt_define.h: -------------------------------------------------------------------------------- 1 | /** 2 | * File: shunt_define.h 3 | * 4 | * Description: Defines constants for network communication 5 | * in the TLM-2 client-server example. 6 | * 7 | * Project: LT_simple_cs - Loosely Timed simple client-server example 8 | */ 9 | #ifndef SHUNT_DEFINE_H 10 | #define SHUNT_DEFINE_H 11 | 12 | /** 13 | * Constant: MY_HOST 14 | * 15 | * The hostname or IP address for the server connection. 16 | * Set to "localhost" for local connections. 17 | */ 18 | #define MY_HOST "localhost" 19 | 20 | /** 21 | * Constant: MY_PORT 22 | * 23 | * The TCP port number used for the socket connection. 24 | * Port 3450 is used for this example. 25 | */ 26 | #define MY_PORT 3450 27 | 28 | 29 | #endif 30 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/makedir/Makefile: -------------------------------------------------------------------------------- 1 | -include ../../../../utils/makefile.init 2 | make_all: 3 | cd ../initiator/makedir && $(MAKE) clean && $(MAKE) all 4 | cd ../target/makedir && $(MAKE) clean && $(MAKE) all 5 | all: make_all 6 | ./run 7 | .PHONY: run 8 | initiator: 9 | cd ../initiator/makedir && $(MAKE) clean && $(MAKE) all 10 | target: 11 | cd ../target/makedir && $(MAKE) clean && $(MAKE) all 12 | 13 | clean: 14 | cd ../initiator/makedir && $(MAKE) clean 15 | cd ../target/makedir && $(MAKE) clean 16 | run: 17 | ./run 18 | 19 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/makedir/output.log: -------------------------------------------------------------------------------- 1 | trans = { R, 20 } , data = aa000029 at time 0 s delay = 10 ns 2 | trans = { R, 24 } , data = aa0000cd at time 10 ns delay = 10 ns 3 | trans = { R, 28 } , data = aa0000ba at time 20 ns delay = 10 ns 4 | trans = { R, 2c } , data = aa0000ab at time 30 ns delay = 10 ns 5 | trans = { R, 30 } , data = aa0000f2 at time 40 ns delay = 10 ns 6 | trans = { W, 34 } , data = ff000034 at time 50 ns delay = 10 ns 7 | trans = { R, 38 } , data = aa0000e3 at time 60 ns delay = 10 ns 8 | trans = { R, 3c } , data = aa000046 at time 70 ns delay = 10 ns 9 | trans = { R, 40 } , data = aa00007c at time 80 ns delay = 10 ns 10 | trans = { R, 44 } , data = aa0000c2 at time 90 ns delay = 10 ns 11 | trans = { R, 48 } , data = aa000054 at time 100 ns delay = 10 ns 12 | trans = { W, 4c } , data = ff00004c at time 110 ns delay = 10 ns 13 | trans = { R, 50 } , data = aa00001b at time 120 ns delay = 10 ns 14 | trans = { R, 54 } , data = aa0000e8 at time 130 ns delay = 10 ns 15 | trans = { R, 58 } , data = aa0000e7 at time 140 ns delay = 10 ns 16 | trans = { R, 5c } , data = aa00008d at time 150 ns delay = 10 ns -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/makedir/run: -------------------------------------------------------------------------------- 1 | ../initiator/bin/LTcs_initiator& 2 | ../target/bin/LTcs_target 3 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/makedir/server.log: -------------------------------------------------------------------------------- 1 | SERVER trans = { R, 20 } , data = aa000029 at time 0 s delay = 10 ns 2 | SERVER trans = { R, 24 } , data = aa0000cd at time 10 ns delay = 10 ns 3 | SERVER trans = { R, 28 } , data = aa0000ba at time 20 ns delay = 10 ns 4 | SERVER trans = { R, 2c } , data = aa0000ab at time 30 ns delay = 10 ns 5 | SERVER trans = { R, 30 } , data = aa0000f2 at time 40 ns delay = 10 ns 6 | SERVER trans = { W, 34 } , data = ff000034 at time 50 ns delay = 10 ns 7 | SERVER trans = { R, 38 } , data = aa0000e3 at time 60 ns delay = 10 ns 8 | SERVER trans = { R, 3c } , data = aa000046 at time 70 ns delay = 10 ns 9 | SERVER trans = { R, 40 } , data = aa00007c at time 80 ns delay = 10 ns 10 | SERVER trans = { R, 44 } , data = aa0000c2 at time 90 ns delay = 10 ns 11 | SERVER trans = { R, 48 } , data = aa000054 at time 100 ns delay = 10 ns 12 | SERVER trans = { W, 4c } , data = ff00004c at time 110 ns delay = 10 ns 13 | SERVER trans = { R, 50 } , data = aa00001b at time 120 ns delay = 10 ns 14 | SERVER trans = { R, 54 } , data = aa0000e8 at time 130 ns delay = 10 ns 15 | SERVER trans = { R, 58 } , data = aa0000e7 at time 140 ns delay = 10 ns 16 | SERVER trans = { R, 5c } , data = aa00008d at time 150 ns delay = 10 ns 17 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/target/bin/.gitkeep: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/examples/sc/LT_simple_cs/target/bin/.gitkeep -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/target/makedir/objects.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | USER_OBJS := 6 | 7 | LIBS := -lsystemc 8 | 9 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/target/makedir/sources.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | C_UPPER_SRCS := 6 | CXX_SRCS := 7 | C++_SRCS := 8 | OBJ_SRCS := 9 | CC_SRCS := 10 | ASM_SRCS := 11 | CPP_SRCS := 12 | C_SRCS := 13 | O_SRCS := 14 | S_UPPER_SRCS := 15 | CC_DEPS := 16 | C++_DEPS := 17 | EXECUTABLES := 18 | C_UPPER_DEPS := 19 | CXX_DEPS := 20 | OBJS := 21 | CPP_DEPS := 22 | C_DEPS := 23 | 24 | # Every subdirectory with source files must be described here 25 | SUBDIRS := \ 26 | . \ 27 | 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/target/makedir/subdir.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | # Add inputs and outputs from these tool invocations to the build variables 6 | CPP_SRCS += \ 7 | ../design.cpp \ 8 | ../testbench.cpp 9 | 10 | OBJS += \ 11 | ../bin/design.o \ 12 | ../bin//testbench.o 13 | 14 | CPP_DEPS += \ 15 | ../bin/design.d \ 16 | ../bin/testbench.d 17 | 18 | 19 | # Each subdirectory must supply rules for building sources it contributes 20 | %.o: ../src/%.cpp 21 | @echo 'Building file: $<' 22 | @echo 'Invoking: GCC C++ Compiler ./examples/sc/LT_simple_cs/target/makedir/subdir.mk:22' 23 | g++ $(USER_OPT) -I$(SYSTEMC_HOME) -I$(SYSTEMC_HOME)/include -I$(SHUNT_HOME)/utils/sc/src -I$(SHUNT_HOME)/utils/c/include -I$(SHUNT_HOME)/utils/c/src -O0 -g3 -Wall -Wno-overloaded-virtual -c -fmessage-length=0 -MMD -MP -MF"$(@:%.o=%.d)" -MT"$(@)" -o "$@" "$<" 24 | @echo 'Finished building: $<' 25 | @echo ' ' 26 | 27 | 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/target/src/design.cpp: -------------------------------------------------------------------------------- 1 | // Code your design here. 2 | // Uncomment the next line for SystemC modules. 3 | // #include "systemc.h" 4 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_cs/target/src/shunt_define.h: -------------------------------------------------------------------------------- 1 | /** 2 | * File: shunt_define.h 3 | * 4 | * Description: Defines constants for network communication 5 | * in the TLM-2 client-server example. 6 | * 7 | * Project: LT_simple_cs - Loosely Timed simple client-server example 8 | */ 9 | #ifndef SHUNT_DEFINE_H 10 | #define SHUNT_DEFINE_H 11 | 12 | /** 13 | * Variable: MY_HOST 14 | * 15 | * The hostname or IP address for the server connection. 16 | * Set to "localhost" for local connections. 17 | */ 18 | #define MY_HOST "localhost" 19 | 20 | /** 21 | * Variable: MY_PORT 22 | * 23 | * The TCP port number used for the socket connection. 24 | * Port 3450 is used for this example. 25 | */ 26 | #define MY_PORT 3450 27 | 28 | 29 | #endif 30 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/initiator/bin/.gitkeep: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/examples/sc/LT_simple_sv/initiator/bin/.gitkeep -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/initiator/makedir/objects.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | USER_OBJS := 6 | 7 | LIBS := -lsystemc 8 | 9 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/initiator/makedir/sources.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | C_UPPER_SRCS := 6 | CXX_SRCS := 7 | C++_SRCS := 8 | OBJ_SRCS := 9 | CC_SRCS := 10 | ASM_SRCS := 11 | CPP_SRCS := 12 | C_SRCS := 13 | O_SRCS := 14 | S_UPPER_SRCS := 15 | CC_DEPS := 16 | C++_DEPS := 17 | EXECUTABLES := 18 | C_UPPER_DEPS := 19 | CXX_DEPS := 20 | OBJS := 21 | CPP_DEPS := 22 | C_DEPS := 23 | 24 | # Every subdirectory with source files must be described here 25 | SUBDIRS := \ 26 | . \ 27 | 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/initiator/makedir/subdir.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | # Add inputs and outputs from these tool invocations to the build variables 6 | CPP_SRCS += \ 7 | ../design.cpp \ 8 | ../testbench.cpp 9 | 10 | OBJS += \ 11 | ../bin/design.o \ 12 | ../bin//testbench.o 13 | 14 | CPP_DEPS += \ 15 | ../bin/design.d \ 16 | ../bin/testbench.d 17 | 18 | 19 | # Each subdirectory must supply rules for building sources it contributes 20 | %.o: ../src/%.cpp 21 | @echo 'Building file: $<' 22 | @echo 'Invoking: GCC C++ Compiler ./examples/sc/LT_simple_sv/initiator/makedir/subdir.mk:22' 23 | g++ $(USER_OPT) -I$(SYSTEMC_HOME) -I$(SYSTEMC_HOME)/include -I$(SHUNT_HOME)/utils/sc/src -I$(SHUNT_HOME)/utils/c/include -I$(SHUNT_HOME)/utils/c/src -O0 -g3 -Wall -Wno-overloaded-virtual -c -fmessage-length=0 -MMD -MP -MF"$(@:%.o=%.d)" -MT"$(@)" -o "$@" "$<" 24 | @echo 'Finished building: $<' 25 | @echo ' ' 26 | 27 | 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/initiator/src/design.cpp: -------------------------------------------------------------------------------- 1 | // Code your design here. 2 | // Uncomment the next line for SystemC modules. 3 | // #include "systemc.h" 4 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/initiator/src/shunt_define.h: -------------------------------------------------------------------------------- 1 | #ifndef SHUNT_DEFINE_H 2 | #define SHUNT_DEFINE_H 3 | 4 | #define MY_HOST "localhost" 5 | #define MY_PORT 3450 6 | 7 | 8 | #endif 9 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/initiator/src/top.h: -------------------------------------------------------------------------------- 1 | #ifndef TOP_H 2 | #define TOP_H 3 | 4 | 5 | #include "initiator.h" 6 | #include "target.h" 7 | #include "shunt_user_api.h" 8 | 9 | SC_MODULE(Top) 10 | { 11 | Initiator *initiator; 12 | Memory *memory; 13 | 14 | SC_CTOR(Top) 15 | { 16 | // Instantiate components 17 | initiator = new Initiator("initiator"); 18 | memory = new Memory ("memory"); 19 | 20 | // One initiator is bound directly to one target with no intervening bus 21 | 22 | // Bind initiator socket to target socket 23 | initiator->socket.bind( memory->socket ); 24 | } 25 | }; 26 | 27 | #endif 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/makedir/Makefile: -------------------------------------------------------------------------------- 1 | -include ../../../../utils/makefile.init 2 | 3 | make_all: clean 4 | cd ../../../../utils/makedir && $(MAKE) all 5 | cd ../initiator/makedir && $(MAKE) all 6 | cd ../target/makedir && $(MAKE) all 7 | all: make_all 8 | ./run 9 | 10 | shunt: 11 | cd ../../../../utils/makedir && $(MAKE) all 12 | target: 13 | cd ../target/makedir && $(MAKE) all 14 | 15 | initiator: 16 | cd ../initiator/makedir && $(MAKE) all 17 | 18 | .PHONY: run 19 | 20 | clean: 21 | cd ../../../../utils/makedir && $(MAKE) clean 22 | cd ../initiator/makedir && $(MAKE) clean 23 | cd ../target/makedir && $(MAKE) clean 24 | run: 25 | ./run 26 | 27 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/makedir/run: -------------------------------------------------------------------------------- 1 | ../initiator/bin/LTcs_initiator | tee LTcs_initiator.log & 2 | ../target/bin/obj_dir/Vcs_common | tee Vcs_common_target.log 3 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/makedir/target.log: -------------------------------------------------------------------------------- 1 | TARGET: SHUNT_TLM_END_SIM clk_cnt=49 2 | 3 | - ../src/Target.sv:72: Verilog $finish 4 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/target/bin/.gitkeep: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/examples/sc/LT_simple_sv/target/bin/.gitkeep -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/target/makedir/Makefile: -------------------------------------------------------------------------------- 1 | 2 | include ./Sim.mk 3 | -include ../../../../utils/makefile.init 4 | 5 | ifeq (${VERILATOR},) 6 | export VERILATOR = verilator 7 | endif 8 | compile_sv: 9 | cd ../bin && echo ${PWD} "" 10 | compile_verilator_sv: 11 | cd ../bin && ${VERILATOR} -Wall --trace ../src/cs_common.svh $(SHUNT_VERILATOR_FLAGS) ../src/Target.sv --exe ../makedir/sim_main.cpp 12 | cd ../bin && make -C obj_dir -j -f Vcs_common.mk Vcs_common 13 | .PHONY: clean 14 | clean: 15 | cd ../bin && rm -rf * 16 | all: compile_verilator_sv 17 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/target/makedir/Sim.mk: -------------------------------------------------------------------------------- 1 | SHUNT_VERILATOR_FLAGS = -CFLAGS "-I${SHUNT_HOME}/utils/dpi/include" -CFLAGS "-I${SHUNT_HOME}/utils/c/include" -cc ${SHUNT_HOME}/utils/dpi/include/shunt_verilator_dpi.svh ${SHUNT_HOME}/utils/dpi/src/shunt_dpi_pkg.sv -LDFLAGS "${SHUNT_HOME}/bin/libutils.so" 2 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/target/makedir/run: -------------------------------------------------------------------------------- 1 | cd ../bin 2 | echo ${PWD} "" -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/target/makedir/sim_main.cpp: -------------------------------------------------------------------------------- 1 | #include 2 | #include "Vcs_common.h" 3 | #include "verilated.h" 4 | #include "verilated_vcd_c.h" 5 | #include 6 | #include 7 | 8 | using namespace std; 9 | 10 | vluint64_t main_time = 0; 11 | 12 | 13 | double sc_time_stamp() { 14 | return (main_time); 15 | } 16 | 17 | int main(int argc, char **argv, char **env) { 18 | int i; 19 | int clk_main; 20 | 21 | Verilated::commandArgs(argc, argv); 22 | // init top verilog instance 23 | Vcs_common* Target = new Vcs_common; 24 | 25 | // init trace dump 26 | Verilated::traceEverOn(true); 27 | VerilatedVcdC* tfp = new VerilatedVcdC; 28 | Target->trace (tfp, 1000);// Trace 1000 levels of hierarchy 29 | tfp->open ("top_sim.vcd"); 30 | 31 | // initialize simulation inputs 32 | Target->clk_i = 1; 33 | 34 | while (!Verilated::gotFinish()) { 35 | Target->clk_i = !Target->clk_i; 36 | Target->eval(); 37 | tfp->flush(); 38 | tfp->dump(main_time); 39 | main_time++; // Time passes... 40 | } 41 | // 42 | Target->final(); 43 | delete Target; Target = NULL; 44 | //printf("\n>>>@%0ld sec\n",currentTimeSec.tv_sec-startTime.tv_sec); 45 | exit(0); 46 | } 47 | 48 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/target/makedir/verilator_run: -------------------------------------------------------------------------------- 1 | cd ../bin 2 | obj_dir/Vcs_common -------------------------------------------------------------------------------- /examples/sc/LT_simple_sv/target/src/cs_common.svh: -------------------------------------------------------------------------------- 1 | `define MY_HOST "localhost" 2 | `define MY_PORT 3450 3 | `define V_SIZE 5 4 | `define STRING_MESSAGE "server function int string_loopback_test(int socket_id)\0" 5 | `define STRING_MESSAGE1 "0000000000000000000000000000000000000000000000000000000\0" 6 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/initiator/bin/.gitkeep: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/examples/sc/LT_simple_trns/initiator/bin/.gitkeep -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/initiator/makedir/objects.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | USER_OBJS := 6 | 7 | LIBS := -lsystemc 8 | 9 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/initiator/makedir/sources.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | C_UPPER_SRCS := 6 | CXX_SRCS := 7 | C++_SRCS := 8 | OBJ_SRCS := 9 | CC_SRCS := 10 | ASM_SRCS := 11 | CPP_SRCS := 12 | C_SRCS := 13 | O_SRCS := 14 | S_UPPER_SRCS := 15 | CC_DEPS := 16 | C++_DEPS := 17 | EXECUTABLES := 18 | C_UPPER_DEPS := 19 | CXX_DEPS := 20 | OBJS := 21 | CPP_DEPS := 22 | C_DEPS := 23 | 24 | # Every subdirectory with source files must be described here 25 | SUBDIRS := \ 26 | . \ 27 | 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/initiator/makedir/subdir.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | # Add inputs and outputs from these tool invocations to the build variables 6 | CPP_SRCS += \ 7 | ../design.cpp \ 8 | ../testbench.cpp 9 | 10 | OBJS += \ 11 | ../bin/design.o \ 12 | ../bin//testbench.o 13 | 14 | CPP_DEPS += \ 15 | ../bin/design.d \ 16 | ../bin/testbench.d 17 | 18 | 19 | # Each subdirectory must supply rules for building sources it contributes 20 | %.o: ../src/%.cpp 21 | @echo 'Building file: $<' 22 | @echo 'Invoking: GCC C++ Compiler ./examples/sc/LT_simple_trns/initiator/makedir/subdir.mk:22' 23 | g++ $(USER_OPT) -I$(SYSTEMC_HOME) -I$(SYSTEMC_HOME)/include -I$(SHUNT_HOME)/utils/sc/src -I$(SHUNT_HOME)/utils/c/include -I$(SHUNT_HOME)/utils/c/src -O0 -g3 -Wall -Wno-overloaded-virtual -c -fmessage-length=0 -MMD -MP -MF"$(@:%.o=%.d)" -MT"$(@)" -o "$@" "$<" 24 | @echo 'Finished building: $<' 25 | @echo ' ' 26 | 27 | 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/initiator/src/design.cpp: -------------------------------------------------------------------------------- 1 | // Code your design here. 2 | // Uncomment the next line for SystemC modules. 3 | // #include "systemc.h" 4 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/initiator/src/shunt_define.h: -------------------------------------------------------------------------------- 1 | #ifndef SHUNT_DEFINE_H 2 | #define SHUNT_DEFINE_H 3 | 4 | #define MY_HOST "localhost" 5 | #define MY_PORT 3450 6 | 7 | 8 | #endif 9 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/initiator/src/top.h: -------------------------------------------------------------------------------- 1 | #ifndef TOP_H 2 | #define TOP_H 3 | 4 | 5 | #include "initiator.h" 6 | #include "target.h" 7 | #include "shunt_user_api.h" 8 | 9 | SC_MODULE(Top) 10 | { 11 | Initiator *initiator; 12 | Memory *memory; 13 | SC_CTOR(Top) 14 | { 15 | // Instantiate components 16 | initiator = new Initiator("initiator"); 17 | memory = new Memory ("memory"); 18 | // 19 | initiator->m_socket = shunt_tlm_init_server(MY_PORT); 20 | memory->m_socket = initiator->m_socket; 21 | // One initiator is bound directly to one target with no intervening bus 22 | 23 | // Bind initiator socket to target socket 24 | initiator->socket.bind( memory->socket ); 25 | } 26 | }; 27 | 28 | #endif 29 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/initiator/templates.xml: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/makedir/Makefile: -------------------------------------------------------------------------------- 1 | -include ../../../../utils/makefile.init 2 | make_all: 3 | cd ../initiator/makedir && $(MAKE) clean && $(MAKE) all 4 | cd ../target/makedir && $(MAKE) clean && $(MAKE) all 5 | all: make_all 6 | ./run 7 | .PHONY: run 8 | 9 | initiator: 10 | cd ../initiator/makedir && $(MAKE) clean && $(MAKE) all 11 | 12 | target: 13 | cd ../target/makedir && $(MAKE) clean && $(MAKE) all 14 | 15 | clean: 16 | cd ../initiator/makedir && $(MAKE) clean 17 | cd ../target/makedir && $(MAKE) clean 18 | run: 19 | ./run 20 | 21 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/makedir/output.log: -------------------------------------------------------------------------------- 1 | trans = { R, 20 } , data = aa000029 at time 0 s delay = 10 ns 2 | trans = { R, 24 } , data = aa0000cd at time 10 ns delay = 10 ns 3 | trans = { R, 28 } , data = aa0000ba at time 20 ns delay = 10 ns 4 | trans = { R, 2c } , data = aa0000ab at time 30 ns delay = 10 ns 5 | trans = { R, 30 } , data = aa0000f2 at time 40 ns delay = 10 ns 6 | trans = { W, 34 } , data = ff000034 at time 50 ns delay = 10 ns 7 | trans = { R, 38 } , data = aa0000e3 at time 60 ns delay = 10 ns 8 | trans = { R, 3c } , data = aa000046 at time 70 ns delay = 10 ns 9 | trans = { R, 40 } , data = aa00007c at time 80 ns delay = 10 ns 10 | trans = { R, 44 } , data = aa0000c2 at time 90 ns delay = 10 ns 11 | trans = { R, 48 } , data = aa000054 at time 100 ns delay = 10 ns 12 | trans = { W, 4c } , data = ff00004c at time 110 ns delay = 10 ns 13 | trans = { R, 50 } , data = aa00001b at time 120 ns delay = 10 ns 14 | trans = { R, 54 } , data = aa0000e8 at time 130 ns delay = 10 ns 15 | trans = { R, 58 } , data = aa0000e7 at time 140 ns delay = 10 ns 16 | trans = { R, 5c } , data = aa00008d at time 150 ns delay = 10 ns -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/makedir/run: -------------------------------------------------------------------------------- 1 | ../initiator/bin/LTcs_initiator& 2 | ../target/bin/LTcs_target 3 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/makedir/server.log: -------------------------------------------------------------------------------- 1 | SERVER trans = { R, 20 } , data = aa000029 at time 0 s delay = 10 ns 2 | SERVER trans = { R, 24 } , data = aa0000cd at time 10 ns delay = 10 ns 3 | SERVER trans = { R, 28 } , data = aa0000ba at time 20 ns delay = 10 ns 4 | SERVER trans = { R, 2c } , data = aa0000ab at time 30 ns delay = 10 ns 5 | SERVER trans = { R, 30 } , data = aa0000f2 at time 40 ns delay = 10 ns 6 | SERVER trans = { W, 34 } , data = ff000034 at time 50 ns delay = 10 ns 7 | SERVER trans = { R, 38 } , data = aa0000e3 at time 60 ns delay = 10 ns 8 | SERVER trans = { R, 3c } , data = aa000046 at time 70 ns delay = 10 ns 9 | SERVER trans = { R, 40 } , data = aa00007c at time 80 ns delay = 10 ns 10 | SERVER trans = { R, 44 } , data = aa0000c2 at time 90 ns delay = 10 ns 11 | SERVER trans = { R, 48 } , data = aa000054 at time 100 ns delay = 10 ns 12 | SERVER trans = { W, 4c } , data = ff00004c at time 110 ns delay = 10 ns 13 | SERVER trans = { R, 50 } , data = aa00001b at time 120 ns delay = 10 ns 14 | SERVER trans = { R, 54 } , data = aa0000e8 at time 130 ns delay = 10 ns 15 | SERVER trans = { R, 58 } , data = aa0000e7 at time 140 ns delay = 10 ns 16 | SERVER trans = { R, 5c } , data = aa00008d at time 150 ns delay = 10 ns 17 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/target/bin/.gitkeep: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/examples/sc/LT_simple_trns/target/bin/.gitkeep -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/target/makedir/objects.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | USER_OBJS := 6 | 7 | LIBS := -lsystemc 8 | 9 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/target/makedir/sources.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | C_UPPER_SRCS := 6 | CXX_SRCS := 7 | C++_SRCS := 8 | OBJ_SRCS := 9 | CC_SRCS := 10 | ASM_SRCS := 11 | CPP_SRCS := 12 | C_SRCS := 13 | O_SRCS := 14 | S_UPPER_SRCS := 15 | CC_DEPS := 16 | C++_DEPS := 17 | EXECUTABLES := 18 | C_UPPER_DEPS := 19 | CXX_DEPS := 20 | OBJS := 21 | CPP_DEPS := 22 | C_DEPS := 23 | 24 | # Every subdirectory with source files must be described here 25 | SUBDIRS := \ 26 | . \ 27 | 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/target/makedir/subdir.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | # Add inputs and outputs from these tool invocations to the build variables 6 | CPP_SRCS += \ 7 | ../design.cpp \ 8 | ../testbench.cpp 9 | 10 | OBJS += \ 11 | ../bin/design.o \ 12 | ../bin//testbench.o 13 | 14 | CPP_DEPS += \ 15 | ../bin/design.d \ 16 | ../bin/testbench.d 17 | 18 | 19 | # Each subdirectory must supply rules for building sources it contributes 20 | %.o: ../src/%.cpp 21 | @echo 'Building file: $<' 22 | @echo 'Invoking: GCC C++ Compiler ./examples/sc/LT_simple_trns/target/makedir/subdir.mk:22' 23 | g++ $(USER_OPT) -I$(SYSTEMC_HOME) -I$(SYSTEMC_HOME)/include -I$(SHUNT_HOME)/utils/sc/src -I$(SHUNT_HOME)/utils/c/include -I$(SHUNT_HOME)/utils/c/src -O0 -g3 -Wall -Wno-overloaded-virtual -c -fmessage-length=0 -MMD -MP -MF"$(@:%.o=%.d)" -MT"$(@)" -o "$@" "$<" 24 | @echo 'Finished building: $<' 25 | @echo ' ' 26 | 27 | 28 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/target/src/design.cpp: -------------------------------------------------------------------------------- 1 | // Code your design here. 2 | // Uncomment the next line for SystemC modules. 3 | // #include "systemc.h" 4 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/target/src/shunt_define.h: -------------------------------------------------------------------------------- 1 | #ifndef SHUNT_DEFINE_H 2 | #define SHUNT_DEFINE_H 3 | 4 | #define MY_HOST "localhost" 5 | #define MY_PORT 3450 6 | 7 | 8 | #endif 9 | -------------------------------------------------------------------------------- /examples/sc/LT_simple_trns/target/src/top.h: -------------------------------------------------------------------------------- 1 | #ifndef TOP_H 2 | #define TOP_H 3 | 4 | 5 | #include "initiator.h" 6 | #include "target.h" 7 | #include "shunt_user_api.h" 8 | 9 | SC_MODULE(Top) 10 | { 11 | Initiator *initiator; 12 | Memory *memory; 13 | 14 | SC_CTOR(Top) 15 | { 16 | // Instantiate components 17 | initiator = new Initiator("initiator"); 18 | memory = new Memory ("memory"); 19 | initiator->m_socket = shunt_tlm_init_client(MY_PORT,MY_HOST); 20 | memory->m_socket = initiator->m_socket; 21 | // One initiator is bound directly to one target with no intervening bus 22 | 23 | // Bind initiator socket to target socket 24 | initiator->socket.bind( memory->socket ); 25 | } 26 | }; 27 | 28 | #endif 29 | -------------------------------------------------------------------------------- /examples/sv/handshake/includes/cs_common.svh: -------------------------------------------------------------------------------- 1 | `define MY_HOST "localhost" 2 | `define MY_PORT 3450 3 | `define V_SIZE 5 4 | `define STRING_MESSAGE "server function int string_loopback_test(int socket_id)\0" 5 | `define STRING_MESSAGE1 "SERVER FUNCTION INT STRING_LOOPBACK_TEST(INT SOCKET_ID)\0" 6 | -------------------------------------------------------------------------------- /examples/sv/handshake/initiator/bin/.gitkeep: -------------------------------------------------------------------------------- 1 | 2 | -------------------------------------------------------------------------------- /examples/sv/handshake/initiator/makedir/Makefile: -------------------------------------------------------------------------------- 1 | 2 | compile_sv: 3 | cd ../bin && echo ${PWD} "" 4 | 5 | .PHONY: clean 6 | clean: 7 | cd ../bin && rm -rf * 8 | all: compile_sv 9 | -------------------------------------------------------------------------------- /examples/sv/handshake/initiator/makedir/run: -------------------------------------------------------------------------------- 1 | cd ../bin 2 | echo ${PWD} "" -------------------------------------------------------------------------------- /examples/sv/handshake/makedir/Makefile: -------------------------------------------------------------------------------- 1 | -include ../../../../utils/makefile.init 2 | build_c: 3 | cd ${SHUNT_HOME}/utils/makedir && $(MAKE) clean && $(MAKE) all 4 | 5 | build_sv: build_c 6 | cd ../initiator/makedir && $(MAKE) clean && $(MAKE) all 7 | cd ../target/makedir && $(MAKE) clean && $(MAKE) all 8 | 9 | .PHONY: run_initiator 10 | run_initiator: 11 | cd ../initiator/makedir && ./run 12 | 13 | .PHONY: run_target 14 | run_target: 15 | cd ../target/makedir && ./run 16 | 17 | .PHONY: clean 18 | clean: 19 | cd ${SHUNT_HOME}/bin && rm -rf * 20 | cd ../initiator/makedir && $(MAKE) clean 21 | cd ../target/makedir && $(MAKE) clean 22 | all: clean build_c build_sv 23 | 24 | -------------------------------------------------------------------------------- /examples/sv/handshake/makedir/run: -------------------------------------------------------------------------------- 1 | make all 2 | make run_initiator & 3 | make run_target 4 | -------------------------------------------------------------------------------- /examples/sv/handshake/target/bin/.gitkeep: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/examples/sv/handshake/target/bin/.gitkeep -------------------------------------------------------------------------------- /examples/sv/handshake/target/makedir/Makefile: -------------------------------------------------------------------------------- 1 | compile_sv: 2 | cd ../bin && echo ${PWD} "" 3 | 4 | .PHONY: clean 5 | clean: 6 | cd ../bin && rm -rf * 7 | all: compile_sv 8 | -------------------------------------------------------------------------------- /examples/sv/handshake/target/makedir/run: -------------------------------------------------------------------------------- 1 | cd ../bin 2 | echo ${PWD} "" -------------------------------------------------------------------------------- /examples/sv/sv2sv/includes/cs_common.svh: -------------------------------------------------------------------------------- 1 | /** 2 | * File: cs_common.svh 3 | * 4 | * Common macro definitions for client-server communication 5 | * 6 | * This file contains common definitions used by both the initiator and target 7 | * modules in the TCP/IP SystemVerilog SHUNT communication examples. 8 | */ 9 | 10 | /** 11 | * Constant: MY_HOST 12 | * Default hostname for socket connections (localhost) 13 | */ 14 | `define MY_HOST "localhost" 15 | 16 | /** 17 | * Constant: MY_PORT 18 | * Default port number for socket connections (3450) 19 | */ 20 | `define MY_PORT 3450 21 | 22 | /** 23 | * Constant: V_SIZE 24 | * Default vector size for data arrays (5 elements) 25 | */ 26 | `define V_SIZE 5 27 | 28 | /** 29 | * Constant: STRING_MESSAGE 30 | * Test string for string data type loopback tests 31 | */ 32 | `define STRING_MESSAGE "server function int string_loopback_test(int socket_id)\0" 33 | 34 | /** 35 | * Constant: STRING_MESSAGE1 36 | * Alternative test string for string data type loopback tests 37 | */ 38 | `define STRING_MESSAGE1 "0000000000000000000000000000000000000000000000000000000\0" 39 | -------------------------------------------------------------------------------- /examples/sv/sv2sv/initiator/makedir/Makefile: -------------------------------------------------------------------------------- 1 | compile_sv: 2 | cd ../bin && echo ${PWD} "" 3 | compile_verilator_sv: 4 | cd ../bin && ${VERILATOR} -Wall ../../includes/cs_common.svh $(SHUNT_VERILATOR_FLAGS) ../src/Initiator.sv --exe ../../makedir/sim_main.cpp 5 | cd ../bin && make -C obj_dir -j -f Vcs_common.mk Vcs_common 6 | .PHONY: clean 7 | clean: 8 | cd ../bin && rm -rf * 9 | all: compile_sv 10 | -------------------------------------------------------------------------------- /examples/sv/sv2sv/initiator/makedir/run: -------------------------------------------------------------------------------- 1 | cd ../bin 2 | echo ${PWD} "" 3 | -------------------------------------------------------------------------------- /examples/sv/sv2sv/initiator/makedir/verilator_run: -------------------------------------------------------------------------------- 1 | cd ../bin 2 | obj_dir/Vcs_common 3 | -------------------------------------------------------------------------------- /examples/sv/sv2sv/makedir/Makefile: -------------------------------------------------------------------------------- 1 | include ./Sim.mk 2 | -include ../../../../utils/makefile.init 3 | 4 | 5 | SHUNT_VERILATOR_FLAGS = -CFLAGS "-I${SHUNT_HOME}/utils/dpi/include" -CFLAGS "-I${SHUNT_HOME}/utils/c/include" -cc ${SHUNT_HOME}/utils/dpi/include/shunt_verilator_dpi.svh ${SHUNT_HOME}/utils/dpi/src/shunt_dpi_pkg.sv -LDFLAGS "${SHUNT_HOME}/bin/libutils.so" 6 | 7 | export SHUNT_VERILATOR_FLAGS 8 | 9 | ifeq (${VERILATOR},) 10 | export VERILATOR = verilator 11 | endif 12 | 13 | build_c: 14 | cd ${SHUNT_HOME}/utils/makedir && $(MAKE) clean && $(MAKE) all 15 | 16 | build_sv: build_c 17 | ifeq ($(SIM),verilator) 18 | cd ../initiator/makedir && $(MAKE) clean && $(MAKE) compile_$(SIM)_sv 19 | cd ../target/makedir && $(MAKE) clean && $(MAKE) compile_$(SIM)_sv 20 | else 21 | cd ../initiator/makedir && $(MAKE) clean && $(MAKE) $(SIM) 22 | cd ../target/makedir && $(MAKE) clean && $(MAKE) $(SIM) 23 | endif 24 | 25 | .PHONY: run_initiator 26 | run_initiator: 27 | cd ../initiator/makedir && ./$(SIM)_run 28 | 29 | .PHONY: run_target 30 | run_target: 31 | cd ../target/makedir && ./$(SIM)_run 32 | 33 | .PHONY: clean 34 | clean: 35 | cd ${SHUNT_HOME}/bin && rm -rf * 36 | cd ../initiator/makedir && $(MAKE) clean 37 | cd ../target/makedir && $(MAKE) clean 38 | all: clean build_c build_sv 39 | 40 | -------------------------------------------------------------------------------- /examples/sv/sv2sv/makedir/Sim.mk: -------------------------------------------------------------------------------- 1 | SHUNT_VERILATOR_FLAGS = -CFLAGS "-I${SHUNT_HOME}/utils/dpi/include" -CFLAGS "-I${SHUNT_HOME}/utils/c/include" -cc ${SHUNT_HOME}/utils/dpi/include/shunt_verilator_dpi.svh ${SHUNT_HOME}/utils/dpi/src/shunt_dpi_pkg.sv -LDFLAGS "${SHUNT_HOME}/bin/libutils.so" 2 | -------------------------------------------------------------------------------- /examples/sv/sv2sv/makedir/run: -------------------------------------------------------------------------------- 1 | make build_sv;touch temp;rm temp; 2 | make run_initiator & 3 | make run_target 4 | -------------------------------------------------------------------------------- /examples/sv/sv2sv/makedir/sim_main.cpp: -------------------------------------------------------------------------------- 1 | #include "Vcs_common.h" 2 | #include "verilated.h" 3 | int main(int argc, char **argv, char **env) { 4 | Verilated::commandArgs(argc, argv); 5 | Vcs_common* top = new Vcs_common; 6 | while (!Verilated::gotFinish()) { top->eval(); } 7 | delete top; 8 | exit(0); 9 | } 10 | -------------------------------------------------------------------------------- /examples/sv/sv2sv/makedir/verilator_run: -------------------------------------------------------------------------------- 1 | make SIM=verilator build_sv 2 | make SIM=verilator run_target& 3 | make SIM=verilator run_initiator 4 | 5 | -------------------------------------------------------------------------------- /examples/sv/sv2sv/target/makedir/Makefile: -------------------------------------------------------------------------------- 1 | compile_sv: 2 | cd ../bin && echo ${PWD} "" 3 | compile_verilator_sv: 4 | cd ../bin && ${VERILATOR} -Wall ../../includes/cs_common.svh $(SHUNT_VERILATOR_FLAGS) ../src/Target.sv --exe ../../makedir/sim_main.cpp 5 | cd ../bin && make -C obj_dir -j -f Vcs_common.mk Vcs_common 6 | .PHONY: clean 7 | clean: 8 | cd ../bin && rm -rf * 9 | all: compile_sv 10 | -------------------------------------------------------------------------------- /examples/sv/sv2sv/target/makedir/run: -------------------------------------------------------------------------------- 1 | cd ../bin 2 | echo ${PWD} "" -------------------------------------------------------------------------------- /examples/sv/sv2sv/target/makedir/verilator_run: -------------------------------------------------------------------------------- 1 | cd ../bin 2 | obj_dir/Vcs_common -------------------------------------------------------------------------------- /utils/c/include/.gitignore: -------------------------------------------------------------------------------- 1 | *.h\# 2 | -------------------------------------------------------------------------------- /utils/dpi/include/shunt_vcs_dpi.svh: -------------------------------------------------------------------------------- 1 | /* 2 | ========================================================= 3 | Title: shunt_vcs_dpi.h 4 | 5 | Copyright (c) 2016-2025 IC Verimeter. All rights reserved. 6 | 7 | Licensed under the MIT License. 8 | 9 | See LICENSE file in the project root for full license information. 10 | 11 | Description : shunt vcs integration 12 | 13 | Not supported DPI functions defines: 14 | Version 1.0.1 : VCS 15 | 16 | System Verilog target initiator handshake (TCP/IP SystemVerilog SHUNT) 17 | ****************************************************** 18 | */ 19 | `ifndef SHUNT_VCS_DPI_H 20 | `define SHUNT_VCS_DPI_H 21 | 22 | `define NO_SHUNT_DPI_SEND_BITN 23 | `define NO_SHUNT_DPI_RECV_BITN 24 | `define NO_SHUNT_DPI_HS_SEND_BITN 25 | `define NO_SHUNT_DPI_HS_RECV_BITN 26 | `define NO_SHUNT_DPI_HS_SEND_REGN 27 | `define NO_SHUNT_DPI_HS_SEND_LOGICN 28 | `define NO_SHUNT_DPI_HS_RECV_REGN 29 | `define NO_SHUNT_DPI_HS_RECV_LOGICN 30 | `define NO_SHUNT_DPI_SEND_TIME 31 | `define NO_SHUNT_DPI_RECV_TIME 32 | 33 | `endif // `ifndef SHUNT_VCS_DPI_H 34 | 35 | 36 | 37 | -------------------------------------------------------------------------------- /utils/makedir/dpi/src/subdir.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | # Add inputs and outputs from these tool invocations to the build variables 6 | C_SRCS += \ 7 | ../dpi/src/shunt_dpi.c 8 | 9 | OBJS += \ 10 | ./dpi/src/shunt_dpi.o 11 | 12 | C_DEPS += \ 13 | ./dpi/src/shunt_dpi.d 14 | 15 | 16 | # Each subdirectory must supply rules for building sources it contributes 17 | dpi/src/%.o: ../dpi/src/%.c 18 | @echo 'Building file: $<' 19 | @echo 'Invoking: GCC C Compiler ./utils/makedir/dpi/src/subdir.mk:19' 20 | gcc -Wall -Werror -Wpedantic -Wextra $(USER_OPT) -shared -std=gnu11 -I"${SHUNT_HOME}/utils/dpi/include" -I"${SHUNT_HOME}/utils/c/include" -I"$(SHUNT_SVDPI)" -include$(SHUNT_SVDPI)/svdpi.h -include"${SHUNT_HOME}/utils/c/include/shunt_primitives.h" -include"${SHUNT_HOME}/utils/c/include/shunt_client_server.h" -include"${SHUNT_HOME}/utils/c/include/shunt_user_api.h" -include"${SHUNT_HOME}/utils/dpi/include/shunt_dpi.h" -O0 -g3 -Wall -c -fmessage-length=0 -fPIC -MMD -MP -MF"$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -o "$@" "$<" 21 | @echo 'Finished building: $<' 22 | @echo ' ' 23 | 24 | 25 | -------------------------------------------------------------------------------- /utils/makedir/objects.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | USER_OBJS := 6 | 7 | LIBS := 8 | 9 | -------------------------------------------------------------------------------- /utils/makedir/sources.mk: -------------------------------------------------------------------------------- 1 | ################################################################################ 2 | # Automatically-generated file. Do not edit! 3 | ################################################################################ 4 | 5 | O_SRCS := 6 | C_SRCS := 7 | S_UPPER_SRCS := 8 | OBJ_SRCS := 9 | ASM_SRCS := 10 | OBJS := 11 | C_DEPS := 12 | LIBRARIES := 13 | 14 | # Every subdirectory with source files must be described here 15 | SUBDIRS := \ 16 | dpi/src \ 17 | c/src \ 18 | 19 | -------------------------------------------------------------------------------- /utils/makefile.init: -------------------------------------------------------------------------------- 1 | .PHONY: check_shunt_home check_dpi_home 2 | 3 | all: check_shunt_home check_dpi_home 4 | 5 | check_shunt_home: 6 | $(call check_defined,SHUNT_HOME) 7 | 8 | check_dpi_home: 9 | $(call check_defined,SHUNT_SVDPI) 10 | 11 | check_defined = \ 12 | $(strip $(foreach 1,$1, \ 13 | $(call __check_defined,$1,$(strip $(value 2))))) 14 | __check_defined = \ 15 | $(if $(value $1),, \ 16 | $(error Shunt Installation Error: $1 environment variable is not set (see Shunt Introduction) $(if $2, ($2)))) 17 | 18 | -------------------------------------------------------------------------------- /utils/py/.gitkeep: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/xver/Shunt/c6d2c1ccad1928adedb63860250eddb75bddc5e6/utils/py/.gitkeep -------------------------------------------------------------------------------- /utils/py/shunt_py/__init__.py: -------------------------------------------------------------------------------- 1 | from Shunt import * 2 | 3 | 4 | 5 | --------------------------------------------------------------------------------