├── .gitattributes ├── figure ├── 1-0.PNG ├── 1-1.PNG ├── 1-2.PNG ├── 1-3.PNG ├── 1-4.PNG ├── 2-0.PNG ├── 2-1.PNG ├── 2-2.PNG ├── 2-3.PNG └── 2-4.PNG ├── pipelined cpu ├── alu.v ├── db │ ├── altsyncram_3uf1.tdf │ ├── altsyncram_mfc1.tdf │ ├── logic_util_heursitic.dat │ ├── pipelined_computer.(0).cnf.cdb │ ├── pipelined_computer.(0).cnf.hdb │ ├── pipelined_computer.(1).cnf.cdb │ ├── pipelined_computer.(1).cnf.hdb │ ├── pipelined_computer.(10).cnf.cdb │ ├── pipelined_computer.(10).cnf.hdb │ ├── pipelined_computer.(11).cnf.cdb │ ├── pipelined_computer.(11).cnf.hdb │ ├── pipelined_computer.(12).cnf.cdb │ ├── pipelined_computer.(12).cnf.hdb │ ├── pipelined_computer.(13).cnf.cdb │ ├── pipelined_computer.(13).cnf.hdb │ ├── pipelined_computer.(14).cnf.cdb │ ├── pipelined_computer.(14).cnf.hdb │ ├── pipelined_computer.(15).cnf.cdb │ ├── pipelined_computer.(15).cnf.hdb │ ├── pipelined_computer.(16).cnf.cdb │ ├── pipelined_computer.(16).cnf.hdb │ ├── pipelined_computer.(17).cnf.cdb │ ├── pipelined_computer.(17).cnf.hdb │ ├── pipelined_computer.(18).cnf.cdb │ ├── pipelined_computer.(18).cnf.hdb │ ├── pipelined_computer.(19).cnf.cdb │ ├── pipelined_computer.(19).cnf.hdb │ ├── pipelined_computer.(2).cnf.cdb │ ├── pipelined_computer.(2).cnf.hdb │ ├── pipelined_computer.(20).cnf.cdb │ ├── pipelined_computer.(20).cnf.hdb │ ├── pipelined_computer.(21).cnf.cdb │ ├── pipelined_computer.(21).cnf.hdb │ ├── pipelined_computer.(22).cnf.cdb │ ├── pipelined_computer.(22).cnf.hdb │ ├── pipelined_computer.(23).cnf.cdb │ ├── pipelined_computer.(23).cnf.hdb │ ├── pipelined_computer.(24).cnf.cdb │ ├── pipelined_computer.(24).cnf.hdb │ ├── pipelined_computer.(3).cnf.cdb │ ├── pipelined_computer.(3).cnf.hdb │ ├── pipelined_computer.(4).cnf.cdb │ ├── pipelined_computer.(4).cnf.hdb │ ├── pipelined_computer.(5).cnf.cdb │ ├── pipelined_computer.(5).cnf.hdb │ ├── pipelined_computer.(6).cnf.cdb │ ├── pipelined_computer.(6).cnf.hdb │ ├── pipelined_computer.(7).cnf.cdb │ ├── pipelined_computer.(7).cnf.hdb │ ├── pipelined_computer.(8).cnf.cdb │ ├── pipelined_computer.(8).cnf.hdb │ ├── pipelined_computer.(9).cnf.cdb │ ├── pipelined_computer.(9).cnf.hdb │ ├── pipelined_computer.asm.qmsg │ ├── pipelined_computer.asm.rdb │ ├── pipelined_computer.asm_labs.ddb │ ├── pipelined_computer.cbx.xml │ ├── pipelined_computer.cmp.bpm │ ├── pipelined_computer.cmp.cdb │ ├── pipelined_computer.cmp.hdb │ ├── pipelined_computer.cmp.idb │ ├── pipelined_computer.cmp.kpt │ ├── pipelined_computer.cmp.logdb │ ├── pipelined_computer.cmp.rdb │ ├── pipelined_computer.cmp0.ddb │ ├── pipelined_computer.cmp1.ddb │ ├── pipelined_computer.cmp_merge.kpt │ ├── pipelined_computer.db_info │ ├── pipelined_computer.eco.cdb │ ├── pipelined_computer.eda.qmsg │ ├── pipelined_computer.fit.qmsg │ ├── pipelined_computer.hier_info │ ├── pipelined_computer.hif │ ├── pipelined_computer.ipinfo │ ├── pipelined_computer.lpc.html │ ├── pipelined_computer.lpc.rdb │ ├── pipelined_computer.lpc.txt │ ├── pipelined_computer.map.ammdb │ ├── pipelined_computer.map.bpm │ ├── pipelined_computer.map.cdb │ ├── pipelined_computer.map.hdb │ ├── pipelined_computer.map.kpt │ ├── pipelined_computer.map.logdb │ ├── pipelined_computer.map.qmsg │ ├── pipelined_computer.map.rdb │ ├── pipelined_computer.map_bb.cdb │ ├── pipelined_computer.map_bb.hdb │ ├── pipelined_computer.map_bb.logdb │ ├── pipelined_computer.pre_map.hdb │ ├── pipelined_computer.pti_db_list.ddb │ ├── pipelined_computer.qns │ ├── pipelined_computer.root_partition.map.reg_db.cdb │ ├── pipelined_computer.routing.rdb │ ├── pipelined_computer.rtlv.hdb │ ├── pipelined_computer.rtlv_sg.cdb │ ├── pipelined_computer.rtlv_sg_swap.cdb │ ├── pipelined_computer.sgdiff.cdb │ ├── pipelined_computer.sgdiff.hdb │ ├── pipelined_computer.sld_design_entry.sci │ ├── pipelined_computer.sld_design_entry_dsc.sci │ ├── pipelined_computer.smart_action.txt │ ├── pipelined_computer.sta.qmsg │ ├── pipelined_computer.sta.rdb │ ├── pipelined_computer.sta_cmp.6_slow.tdb │ ├── pipelined_computer.syn_hier_info │ ├── pipelined_computer.tis_db_list.ddb │ ├── pipelined_computer.vpr.ammdb │ └── prev_cmp_pipelined_computer.qmsg ├── incremental_db │ ├── README │ └── compiled_partitions │ │ ├── pipelined_computer.db_info │ │ ├── pipelined_computer.root_partition.cmp.ammdb │ │ ├── pipelined_computer.root_partition.cmp.cdb │ │ ├── pipelined_computer.root_partition.cmp.dfp │ │ ├── pipelined_computer.root_partition.cmp.hdb │ │ ├── pipelined_computer.root_partition.cmp.kpt │ │ ├── pipelined_computer.root_partition.cmp.logdb │ │ ├── pipelined_computer.root_partition.cmp.rcfdb │ │ ├── pipelined_computer.root_partition.map.cdb │ │ ├── pipelined_computer.root_partition.map.dpi │ │ ├── pipelined_computer.root_partition.map.hbdb.cdb │ │ ├── pipelined_computer.root_partition.map.hbdb.hb_info │ │ ├── pipelined_computer.root_partition.map.hbdb.hdb │ │ ├── pipelined_computer.root_partition.map.hbdb.sig │ │ ├── pipelined_computer.root_partition.map.hdb │ │ └── pipelined_computer.root_partition.map.kpt ├── io_input_reg.v ├── io_input_reg.v.bak ├── io_output_reg.v ├── io_output_reg.v.bak ├── lpm_ram_dq_dram.bsf ├── lpm_ram_dq_dram.v ├── lpm_rom_irom.bsf ├── lpm_rom_irom.v ├── mux2x32.v ├── mux4x32.v ├── output_files │ ├── pipelined_computer.asm.rpt │ ├── pipelined_computer.done │ ├── pipelined_computer.eda.rpt │ ├── pipelined_computer.fit.rpt │ ├── pipelined_computer.fit.smsg │ ├── pipelined_computer.fit.summary │ ├── pipelined_computer.flow.rpt │ ├── pipelined_computer.jdi │ ├── pipelined_computer.map.rpt │ ├── pipelined_computer.map.summary │ ├── pipelined_computer.pin │ ├── pipelined_computer.pof │ ├── pipelined_computer.sof │ ├── pipelined_computer.sta.rpt │ └── pipelined_computer.sta.summary ├── pipedereg.v ├── pipedereg.v.bak ├── pipeemreg.v ├── pipeemreg.v.bak ├── pipeexe.v ├── pipeexe.v.bak ├── pipeid.v ├── pipeid.v.bak ├── pipeif.v ├── pipeif.v.bak ├── pipeir.v ├── pipeir.v.bak ├── pipelined_computer.pti_db_list.ddb ├── pipelined_computer.qpf ├── pipelined_computer.qsf ├── pipelined_computer.qws ├── pipelined_computer.tis_db_list.ddb ├── pipelined_computer.v ├── pipelined_computer.v.bak ├── pipelined_computer_test_wave_01.vwf ├── pipemem.v ├── pipemem.v.bak ├── pipemwreg.v ├── pipepc.v ├── pipepc.v.bak ├── qmegawiz_errors_log.txt ├── regfile.v ├── sc_cu.v ├── sc_cu.v.bak ├── sc_datamem.v ├── sc_instmen.v ├── sc_instmen.v.bak ├── se │ ├── add_nop_datamem.mif │ ├── add_nop_instmem.mif │ ├── inmemory │ ├── se.exe │ └── test.txt ├── simulation │ ├── modelsim │ │ ├── pipelined_computer.sft │ │ ├── pipelined_computer.vo │ │ ├── pipelined_computer_fast.vo │ │ ├── pipelined_computer_modelsim.xrf │ │ ├── pipelined_computer_v.sdo │ │ └── pipelined_computer_v_fast.sdo │ └── qsim │ │ ├── pipelined_computer.do │ │ ├── pipelined_computer.msim.vcd │ │ ├── pipelined_computer.msim.vwf │ │ ├── pipelined_computer.sim.vwf │ │ ├── pipelined_computer.vo │ │ ├── pipelined_computer.vt │ │ ├── pipelined_computer_v.sdo │ │ ├── transcript │ │ ├── vsim.wlf │ │ └── work │ │ ├── _info │ │ ├── _vmake │ │ ├── pipelined_computer │ │ ├── _primary.dat │ │ ├── _primary.dbs │ │ ├── _primary.vhd │ │ ├── verilog.prw │ │ └── verilog.psm │ │ ├── pipelined_computer_vlg_check_tst │ │ ├── _primary.dat │ │ ├── _primary.dbs │ │ ├── _primary.vhd │ │ ├── verilog.prw │ │ └── verilog.psm │ │ ├── pipelined_computer_vlg_sample_tst │ │ ├── _primary.dat │ │ ├── _primary.dbs │ │ ├── _primary.vhd │ │ ├── verilog.prw │ │ └── verilog.psm │ │ └── pipelined_computer_vlg_vec_tst │ │ ├── _primary.dat │ │ ├── _primary.dbs │ │ ├── _primary.vhd │ │ ├── verilog.prw │ │ └── verilog.psm └── source │ ├── sc_datamem.mif │ ├── sc_datamem_io.mif │ ├── sc_datamem_sc_one_nop.mif │ ├── sc_instmem.mif │ ├── sc_instmem_io.mif │ └── sc_instmem_sc_one_nop.mif └── readme.md /.gitattributes: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/.gitattributes -------------------------------------------------------------------------------- /figure/1-0.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/figure/1-0.PNG -------------------------------------------------------------------------------- /figure/1-1.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/figure/1-1.PNG -------------------------------------------------------------------------------- /figure/1-2.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/figure/1-2.PNG -------------------------------------------------------------------------------- /figure/1-3.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/figure/1-3.PNG -------------------------------------------------------------------------------- /figure/1-4.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/figure/1-4.PNG -------------------------------------------------------------------------------- /figure/2-0.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/figure/2-0.PNG -------------------------------------------------------------------------------- /figure/2-1.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/figure/2-1.PNG -------------------------------------------------------------------------------- /figure/2-2.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/figure/2-2.PNG -------------------------------------------------------------------------------- /figure/2-3.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/figure/2-3.PNG -------------------------------------------------------------------------------- /figure/2-4.PNG: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/figure/2-4.PNG -------------------------------------------------------------------------------- /pipelined cpu/alu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/alu.v -------------------------------------------------------------------------------- /pipelined cpu/db/altsyncram_3uf1.tdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/altsyncram_3uf1.tdf -------------------------------------------------------------------------------- /pipelined cpu/db/altsyncram_mfc1.tdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/altsyncram_mfc1.tdf -------------------------------------------------------------------------------- /pipelined cpu/db/logic_util_heursitic.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/logic_util_heursitic.dat -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(0).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(0).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(0).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(0).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(1).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(1).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(1).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(1).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(10).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(10).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(10).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(10).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(11).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(11).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(11).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(11).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(12).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(12).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(12).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(12).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(13).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(13).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(13).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(13).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(14).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(14).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(14).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(14).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(15).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(15).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(15).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(15).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(16).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(16).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(16).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(16).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(17).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(17).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(17).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(17).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(18).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(18).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(18).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(18).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(19).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(19).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(19).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(19).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(2).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(2).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(2).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(2).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(20).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(20).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(20).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(20).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(21).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(21).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(21).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(21).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(22).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(22).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(22).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(22).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(23).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(23).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(23).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(23).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(24).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(24).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(24).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(24).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(3).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(3).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(3).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(3).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(4).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(4).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(4).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(4).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(5).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(5).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(5).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(5).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(6).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(6).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(6).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(6).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(7).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(7).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(7).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(7).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(8).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(8).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(8).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(8).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(9).cnf.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(9).cnf.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.(9).cnf.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.(9).cnf.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.asm.qmsg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.asm.qmsg -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.asm.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.asm.rdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.asm_labs.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.asm_labs.ddb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cbx.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.cbx.xml -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cmp.bpm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.cmp.bpm -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cmp.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.cmp.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cmp.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.cmp.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cmp.idb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.cmp.idb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cmp.kpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.cmp.kpt -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cmp.logdb: -------------------------------------------------------------------------------- 1 | v1 2 | -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cmp.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.cmp.rdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cmp0.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.cmp0.ddb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cmp1.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.cmp1.ddb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.cmp_merge.kpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.cmp_merge.kpt -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.db_info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.db_info -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.eco.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.eco.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.eda.qmsg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.eda.qmsg -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.fit.qmsg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.fit.qmsg -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.hier_info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.hier_info -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.hif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.hif -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.ipinfo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.ipinfo -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.lpc.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.lpc.html -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.lpc.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.lpc.rdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.lpc.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.lpc.txt -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map.ammdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.map.ammdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map.bpm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.map.bpm -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.map.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.map.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map.kpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.map.kpt -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map.logdb: -------------------------------------------------------------------------------- 1 | v1 2 | -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map.qmsg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.map.qmsg -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.map.rdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map_bb.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.map_bb.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map_bb.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.map_bb.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.map_bb.logdb: -------------------------------------------------------------------------------- 1 | v1 2 | -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.pre_map.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.pre_map.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.pti_db_list.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.pti_db_list.ddb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.qns: -------------------------------------------------------------------------------- 1 | pipelined_computer/map 2 | -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.root_partition.map.reg_db.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.root_partition.map.reg_db.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.routing.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.routing.rdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.rtlv.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.rtlv.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.rtlv_sg.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.rtlv_sg.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.rtlv_sg_swap.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.rtlv_sg_swap.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.sgdiff.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.sgdiff.cdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.sgdiff.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.sgdiff.hdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.sld_design_entry.sci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.sld_design_entry.sci -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.sld_design_entry_dsc.sci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.sld_design_entry_dsc.sci -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.smart_action.txt: -------------------------------------------------------------------------------- 1 | SOURCE 2 | -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.sta.qmsg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.sta.qmsg -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.sta.rdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.sta.rdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.sta_cmp.6_slow.tdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.sta_cmp.6_slow.tdb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.syn_hier_info: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.tis_db_list.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.tis_db_list.ddb -------------------------------------------------------------------------------- /pipelined cpu/db/pipelined_computer.vpr.ammdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/pipelined_computer.vpr.ammdb -------------------------------------------------------------------------------- /pipelined cpu/db/prev_cmp_pipelined_computer.qmsg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/db/prev_cmp_pipelined_computer.qmsg -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/README: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/README -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.db_info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.db_info -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.ammdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.ammdb -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.cdb -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.dfp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.dfp -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.hdb -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.kpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.kpt -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.logdb: -------------------------------------------------------------------------------- 1 | v1 2 | -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.rcfdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.cmp.rcfdb -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.cdb -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.dpi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.dpi -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.hbdb.cdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.hbdb.cdb -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.hbdb.hb_info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.hbdb.hb_info -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.hbdb.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.hbdb.hdb -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.hbdb.sig: -------------------------------------------------------------------------------- 1 | 9a9b3e9d06db00b9dc03feca87af856c -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.hdb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.hdb -------------------------------------------------------------------------------- /pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.kpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/incremental_db/compiled_partitions/pipelined_computer.root_partition.map.kpt -------------------------------------------------------------------------------- /pipelined cpu/io_input_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/io_input_reg.v -------------------------------------------------------------------------------- /pipelined cpu/io_input_reg.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/io_input_reg.v.bak -------------------------------------------------------------------------------- /pipelined cpu/io_output_reg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/io_output_reg.v -------------------------------------------------------------------------------- /pipelined cpu/io_output_reg.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/io_output_reg.v.bak -------------------------------------------------------------------------------- /pipelined cpu/lpm_ram_dq_dram.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/lpm_ram_dq_dram.bsf -------------------------------------------------------------------------------- /pipelined cpu/lpm_ram_dq_dram.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/lpm_ram_dq_dram.v -------------------------------------------------------------------------------- /pipelined cpu/lpm_rom_irom.bsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/lpm_rom_irom.bsf -------------------------------------------------------------------------------- /pipelined cpu/lpm_rom_irom.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/lpm_rom_irom.v -------------------------------------------------------------------------------- /pipelined cpu/mux2x32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/mux2x32.v -------------------------------------------------------------------------------- /pipelined cpu/mux4x32.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/mux4x32.v -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.asm.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.asm.rpt -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.done: -------------------------------------------------------------------------------- 1 | Wed Jun 10 22:02:41 2020 2 | -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.eda.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.eda.rpt -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.fit.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.fit.rpt -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.fit.smsg: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.fit.smsg -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.fit.summary: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.fit.summary -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.flow.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.flow.rpt -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.jdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.jdi -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.map.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.map.rpt -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.map.summary: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.map.summary -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.pin: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.pin -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.pof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.pof -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.sof: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.sof -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.sta.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.sta.rpt -------------------------------------------------------------------------------- /pipelined cpu/output_files/pipelined_computer.sta.summary: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/output_files/pipelined_computer.sta.summary -------------------------------------------------------------------------------- /pipelined cpu/pipedereg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipedereg.v -------------------------------------------------------------------------------- /pipelined cpu/pipedereg.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipedereg.v.bak -------------------------------------------------------------------------------- /pipelined cpu/pipeemreg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipeemreg.v -------------------------------------------------------------------------------- /pipelined cpu/pipeemreg.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipeemreg.v.bak -------------------------------------------------------------------------------- /pipelined cpu/pipeexe.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipeexe.v -------------------------------------------------------------------------------- /pipelined cpu/pipeexe.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipeexe.v.bak -------------------------------------------------------------------------------- /pipelined cpu/pipeid.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipeid.v -------------------------------------------------------------------------------- /pipelined cpu/pipeid.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipeid.v.bak -------------------------------------------------------------------------------- /pipelined cpu/pipeif.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipeif.v -------------------------------------------------------------------------------- /pipelined cpu/pipeif.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipeif.v.bak -------------------------------------------------------------------------------- /pipelined cpu/pipeir.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipeir.v -------------------------------------------------------------------------------- /pipelined cpu/pipeir.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipeir.v.bak -------------------------------------------------------------------------------- /pipelined cpu/pipelined_computer.pti_db_list.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipelined_computer.pti_db_list.ddb -------------------------------------------------------------------------------- /pipelined cpu/pipelined_computer.qpf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipelined_computer.qpf -------------------------------------------------------------------------------- /pipelined cpu/pipelined_computer.qsf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipelined_computer.qsf -------------------------------------------------------------------------------- /pipelined cpu/pipelined_computer.qws: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipelined_computer.qws -------------------------------------------------------------------------------- /pipelined cpu/pipelined_computer.tis_db_list.ddb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipelined_computer.tis_db_list.ddb -------------------------------------------------------------------------------- /pipelined cpu/pipelined_computer.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipelined_computer.v -------------------------------------------------------------------------------- /pipelined cpu/pipelined_computer.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipelined_computer.v.bak -------------------------------------------------------------------------------- /pipelined cpu/pipelined_computer_test_wave_01.vwf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipelined_computer_test_wave_01.vwf -------------------------------------------------------------------------------- /pipelined cpu/pipemem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipemem.v -------------------------------------------------------------------------------- /pipelined cpu/pipemem.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipemem.v.bak -------------------------------------------------------------------------------- /pipelined cpu/pipemwreg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipemwreg.v -------------------------------------------------------------------------------- /pipelined cpu/pipepc.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipepc.v -------------------------------------------------------------------------------- /pipelined cpu/pipepc.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/pipepc.v.bak -------------------------------------------------------------------------------- /pipelined cpu/qmegawiz_errors_log.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/qmegawiz_errors_log.txt -------------------------------------------------------------------------------- /pipelined cpu/regfile.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/regfile.v -------------------------------------------------------------------------------- /pipelined cpu/sc_cu.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/sc_cu.v -------------------------------------------------------------------------------- /pipelined cpu/sc_cu.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/sc_cu.v.bak -------------------------------------------------------------------------------- /pipelined cpu/sc_datamem.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/sc_datamem.v -------------------------------------------------------------------------------- /pipelined cpu/sc_instmen.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/sc_instmen.v -------------------------------------------------------------------------------- /pipelined cpu/sc_instmen.v.bak: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/sc_instmen.v.bak -------------------------------------------------------------------------------- /pipelined cpu/se/add_nop_datamem.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/se/add_nop_datamem.mif -------------------------------------------------------------------------------- /pipelined cpu/se/add_nop_instmem.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/se/add_nop_instmem.mif -------------------------------------------------------------------------------- /pipelined cpu/se/inmemory: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /pipelined cpu/se/se.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/se/se.exe -------------------------------------------------------------------------------- /pipelined cpu/se/test.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/se/test.txt -------------------------------------------------------------------------------- /pipelined cpu/simulation/modelsim/pipelined_computer.sft: -------------------------------------------------------------------------------- 1 | set tool_name "ModelSim-Altera (Verilog)" 2 | -------------------------------------------------------------------------------- /pipelined cpu/simulation/modelsim/pipelined_computer.vo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/modelsim/pipelined_computer.vo -------------------------------------------------------------------------------- /pipelined cpu/simulation/modelsim/pipelined_computer_fast.vo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/modelsim/pipelined_computer_fast.vo -------------------------------------------------------------------------------- /pipelined cpu/simulation/modelsim/pipelined_computer_modelsim.xrf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/modelsim/pipelined_computer_modelsim.xrf -------------------------------------------------------------------------------- /pipelined cpu/simulation/modelsim/pipelined_computer_v.sdo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/modelsim/pipelined_computer_v.sdo -------------------------------------------------------------------------------- /pipelined cpu/simulation/modelsim/pipelined_computer_v_fast.sdo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/modelsim/pipelined_computer_v_fast.sdo -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/pipelined_computer.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/pipelined_computer.do -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/pipelined_computer.msim.vcd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/pipelined_computer.msim.vcd -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/pipelined_computer.msim.vwf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/pipelined_computer.msim.vwf -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/pipelined_computer.sim.vwf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/pipelined_computer.sim.vwf -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/pipelined_computer.vo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/pipelined_computer.vo -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/pipelined_computer.vt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/pipelined_computer.vt -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/pipelined_computer_v.sdo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/pipelined_computer_v.sdo -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/transcript: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/transcript -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/vsim.wlf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/vsim.wlf -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/_info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/_info -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/_vmake: -------------------------------------------------------------------------------- 1 | m255 2 | K3 3 | cModel Technology 4 | -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer/_primary.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer/_primary.dat -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer/_primary.dbs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer/_primary.dbs -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer/_primary.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer/_primary.vhd -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer/verilog.prw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer/verilog.prw -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer/verilog.psm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer/verilog.psm -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_check_tst/_primary.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_check_tst/_primary.dat -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_check_tst/_primary.dbs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_check_tst/_primary.dbs -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_check_tst/_primary.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_check_tst/_primary.vhd -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_check_tst/verilog.prw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_check_tst/verilog.prw -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_check_tst/verilog.psm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_check_tst/verilog.psm -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_sample_tst/_primary.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_sample_tst/_primary.dat -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_sample_tst/_primary.dbs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_sample_tst/_primary.dbs -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_sample_tst/_primary.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_sample_tst/_primary.vhd -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_sample_tst/verilog.prw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_sample_tst/verilog.prw -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_sample_tst/verilog.psm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_sample_tst/verilog.psm -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_vec_tst/_primary.dat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_vec_tst/_primary.dat -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_vec_tst/_primary.dbs: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_vec_tst/_primary.dbs -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_vec_tst/_primary.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_vec_tst/_primary.vhd -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_vec_tst/verilog.prw: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_vec_tst/verilog.prw -------------------------------------------------------------------------------- /pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_vec_tst/verilog.psm: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/simulation/qsim/work/pipelined_computer_vlg_vec_tst/verilog.psm -------------------------------------------------------------------------------- /pipelined cpu/source/sc_datamem.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/source/sc_datamem.mif -------------------------------------------------------------------------------- /pipelined cpu/source/sc_datamem_io.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/source/sc_datamem_io.mif -------------------------------------------------------------------------------- /pipelined cpu/source/sc_datamem_sc_one_nop.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/source/sc_datamem_sc_one_nop.mif -------------------------------------------------------------------------------- /pipelined cpu/source/sc_instmem.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/source/sc_instmem.mif -------------------------------------------------------------------------------- /pipelined cpu/source/sc_instmem_io.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/source/sc_instmem_io.mif -------------------------------------------------------------------------------- /pipelined cpu/source/sc_instmem_sc_one_nop.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/pipelined cpu/source/sc_instmem_sc_one_nop.mif -------------------------------------------------------------------------------- /readme.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yifanlu0227/EI332-SJTU-2020/HEAD/readme.md --------------------------------------------------------------------------------