├── .gitattributes ├── Code ├── verilog │ ├── apple.v │ ├── audio.v │ ├── bcdto8segment_dataflow.v │ ├── display.v │ ├── fsm.v │ ├── score.v │ ├── seg.v │ ├── snake.v │ ├── top.v │ ├── turn.v │ ├── vga_sync_generator.v │ └── vga_test.v └── xdc │ └── Nexys4DDR_Master.xdc ├── Full_Project_on_Vivado2018 └── GreedySnakeGame │ ├── GreedySnakeGame.cache │ ├── ip │ │ └── 2018.2.2 │ │ │ ├── 079559df6d631145.logs │ │ │ └── runme.log │ │ │ ├── 079559df6d631145 │ │ │ ├── 079559df6d631145.xci │ │ │ ├── blk_mem_gen_1.dcp │ │ │ ├── blk_mem_gen_1_sim_netlist.v │ │ │ ├── blk_mem_gen_1_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_1_stub.v │ │ │ ├── blk_mem_gen_1_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── 0fd011146db1c848.logs │ │ │ └── runme.log │ │ │ ├── 0fd011146db1c848 │ │ │ ├── 0fd011146db1c848.xci │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── 1a89529ff2bad8fc.logs │ │ │ └── runme.log │ │ │ ├── 1a89529ff2bad8fc │ │ │ ├── 1a89529ff2bad8fc.xci │ │ │ ├── clk_wiz_1.dcp │ │ │ ├── clk_wiz_1_sim_netlist.v │ │ │ ├── clk_wiz_1_sim_netlist.vhdl │ │ │ ├── clk_wiz_1_stub.v │ │ │ ├── clk_wiz_1_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── 21841dab26ae3bc4.logs │ │ │ └── runme.log │ │ │ ├── 21841dab26ae3bc4 │ │ │ ├── 21841dab26ae3bc4.xci │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── 2c6adde6762c3a7f.logs │ │ │ └── runme.log │ │ │ ├── 2c6adde6762c3a7f │ │ │ ├── 2c6adde6762c3a7f.xci │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── 2d6b0f4d115549d4.logs │ │ │ └── runme.log │ │ │ ├── 2d6b0f4d115549d4 │ │ │ ├── 2d6b0f4d115549d4.xci │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── 339c2a894aa1f1f1.logs │ │ │ └── runme.log │ │ │ ├── 339c2a894aa1f1f1 │ │ │ ├── 339c2a894aa1f1f1.xci │ │ │ ├── clk_wiz_0.dcp │ │ │ ├── clk_wiz_0_sim_netlist.v │ │ │ ├── clk_wiz_0_sim_netlist.vhdl │ │ │ ├── clk_wiz_0_stub.v │ │ │ ├── clk_wiz_0_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── 58b01ab133969b0a.logs │ │ │ └── runme.log │ │ │ ├── 58b01ab133969b0a │ │ │ ├── 58b01ab133969b0a.xci │ │ │ ├── blk_mem_gen_1.dcp │ │ │ ├── blk_mem_gen_1_sim_netlist.v │ │ │ ├── blk_mem_gen_1_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_1_stub.v │ │ │ ├── blk_mem_gen_1_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── 5966ebfc0f7f84ab.logs │ │ │ └── runme.log │ │ │ ├── 5966ebfc0f7f84ab │ │ │ ├── 5966ebfc0f7f84ab.xci │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── 8f2dcc5218d685bd.logs │ │ │ └── runme.log │ │ │ ├── 8f2dcc5218d685bd │ │ │ ├── 8f2dcc5218d685bd.xci │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── 929b98ef5573e185.logs │ │ │ └── runme.log │ │ │ ├── 929b98ef5573e185 │ │ │ ├── 929b98ef5573e185.xci │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── a9b489f7ec8e6468.logs │ │ │ └── runme.log │ │ │ ├── a9b489f7ec8e6468 │ │ │ ├── a9b489f7ec8e6468.xci │ │ │ ├── blk_mem_gen_1.dcp │ │ │ ├── blk_mem_gen_1_sim_netlist.v │ │ │ ├── blk_mem_gen_1_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_1_stub.v │ │ │ ├── blk_mem_gen_1_stub.vhdl │ │ │ └── stats.txt │ │ │ ├── c20d635284b688d1.logs │ │ │ └── runme.log │ │ │ ├── c20d635284b688d1 │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ ├── c20d635284b688d1.xci │ │ │ └── stats.txt │ │ │ ├── d633ec47bb7af13a.logs │ │ │ └── runme.log │ │ │ ├── d633ec47bb7af13a │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ ├── d633ec47bb7af13a.xci │ │ │ └── stats.txt │ │ │ ├── e5975662189c3830.logs │ │ │ └── runme.log │ │ │ ├── e5975662189c3830 │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ ├── e5975662189c3830.xci │ │ │ └── stats.txt │ │ │ ├── e95d24f40f6c0c1b.logs │ │ │ └── runme.log │ │ │ ├── e95d24f40f6c0c1b │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ ├── e95d24f40f6c0c1b.xci │ │ │ └── stats.txt │ │ │ ├── f0b047e9b89929dd.logs │ │ │ └── runme.log │ │ │ ├── f0b047e9b89929dd │ │ │ ├── blk_mem_gen_1.dcp │ │ │ ├── blk_mem_gen_1_sim_netlist.v │ │ │ ├── blk_mem_gen_1_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_1_stub.v │ │ │ ├── blk_mem_gen_1_stub.vhdl │ │ │ ├── f0b047e9b89929dd.xci │ │ │ └── stats.txt │ │ │ ├── fd474288983cfe2f.logs │ │ │ └── runme.log │ │ │ └── fd474288983cfe2f │ │ │ ├── c_counter_binary_0.dcp │ │ │ ├── c_counter_binary_0_sim_netlist.v │ │ │ ├── c_counter_binary_0_sim_netlist.vhdl │ │ │ ├── c_counter_binary_0_stub.v │ │ │ ├── c_counter_binary_0_stub.vhdl │ │ │ ├── fd474288983cfe2f.xci │ │ │ └── stats.txt │ └── wt │ │ ├── gui_handlers.wdf │ │ ├── java_command_handlers.wdf │ │ ├── project.wpc │ │ ├── synthesis.wdf │ │ ├── synthesis_details.wdf │ │ └── webtalk_pa.xml │ ├── GreedySnakeGame.hw │ ├── GreedySnakeGame.lpr │ ├── hw_1 │ │ └── hw.xml │ └── webtalk │ │ ├── .xsim_webtallk.info │ │ ├── labtool_webtalk.log │ │ ├── usage_statistics_ext_labtool.html │ │ └── usage_statistics_ext_labtool.xml │ ├── GreedySnakeGame.ip_user_files │ ├── README.txt │ ├── ip │ │ ├── blk_mem_gen_0 │ │ │ ├── blk_mem_gen_0.veo │ │ │ ├── blk_mem_gen_0.vho │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ └── blk_mem_gen_0_stub.vhdl │ │ ├── c_counter_binary_0 │ │ │ ├── c_counter_binary_0.veo │ │ │ ├── c_counter_binary_0.vho │ │ │ ├── c_counter_binary_0_stub.v │ │ │ └── c_counter_binary_0_stub.vhdl │ │ └── clk_wiz_0 │ │ │ ├── clk_wiz_0.veo │ │ │ ├── clk_wiz_0_stub.v │ │ │ └── clk_wiz_0_stub.vhdl │ ├── mem_init_files │ │ ├── 1080_from_960_down.coe │ │ ├── 1080_from_960_up.coe │ │ ├── CrazyBird.coe │ │ ├── CrazyBird_960.coe │ │ ├── CrazyBird_Move.coe │ │ ├── blk_mem_gen_0.mif │ │ ├── blk_mem_gen_1.mif │ │ ├── launch.coe │ │ ├── launch_1320x770_8_paint.coe │ │ ├── launch_1920x1080_grey_8bit_down.coe │ │ ├── launch_1920x1080_grey_8bit_up.coe │ │ └── summary.log │ └── sim_scripts │ │ ├── blk_mem_gen_0 │ │ ├── README.txt │ │ ├── activehdl │ │ │ ├── README.txt │ │ │ ├── blk_mem_gen_0.mif │ │ │ ├── blk_mem_gen_0.sh │ │ │ ├── blk_mem_gen_0.udo │ │ │ ├── compile.do │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── launch_1320x770_8_paint.coe │ │ │ ├── simulate.do │ │ │ ├── summary.log │ │ │ └── wave.do │ │ ├── ies │ │ │ ├── README.txt │ │ │ ├── blk_mem_gen_0.mif │ │ │ ├── blk_mem_gen_0.sh │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── launch_1320x770_8_paint.coe │ │ │ ├── run.f │ │ │ └── summary.log │ │ ├── modelsim │ │ │ ├── README.txt │ │ │ ├── blk_mem_gen_0.mif │ │ │ ├── blk_mem_gen_0.sh │ │ │ ├── blk_mem_gen_0.udo │ │ │ ├── compile.do │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── launch_1320x770_8_paint.coe │ │ │ ├── simulate.do │ │ │ ├── summary.log │ │ │ └── wave.do │ │ ├── questa │ │ │ ├── README.txt │ │ │ ├── blk_mem_gen_0.mif │ │ │ ├── blk_mem_gen_0.sh │ │ │ ├── blk_mem_gen_0.udo │ │ │ ├── compile.do │ │ │ ├── elaborate.do │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── launch_1320x770_8_paint.coe │ │ │ ├── simulate.do │ │ │ ├── summary.log │ │ │ └── wave.do │ │ ├── riviera │ │ │ ├── README.txt │ │ │ ├── blk_mem_gen_0.mif │ │ │ ├── blk_mem_gen_0.sh │ │ │ ├── blk_mem_gen_0.udo │ │ │ ├── compile.do │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── launch_1320x770_8_paint.coe │ │ │ ├── simulate.do │ │ │ ├── summary.log │ │ │ └── wave.do │ │ ├── vcs │ │ │ ├── README.txt │ │ │ ├── blk_mem_gen_0.mif │ │ │ ├── blk_mem_gen_0.sh │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── launch_1320x770_8_paint.coe │ │ │ ├── simulate.do │ │ │ └── summary.log │ │ ├── xcelium │ │ │ ├── README.txt │ │ │ ├── blk_mem_gen_0.mif │ │ │ ├── blk_mem_gen_0.sh │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── launch_1320x770_8_paint.coe │ │ │ ├── run.f │ │ │ └── summary.log │ │ └── xsim │ │ │ ├── README.txt │ │ │ ├── blk_mem_gen_0.mif │ │ │ ├── blk_mem_gen_0.sh │ │ │ ├── cmd.tcl │ │ │ ├── elab.opt │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── launch_1320x770_8_paint.coe │ │ │ ├── summary.log │ │ │ ├── vlog.prj │ │ │ └── xsim.ini │ │ ├── c_counter_binary_0 │ │ ├── README.txt │ │ ├── activehdl │ │ │ ├── README.txt │ │ │ ├── c_counter_binary_0.sh │ │ │ ├── c_counter_binary_0.udo │ │ │ ├── compile.do │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── simulate.do │ │ │ └── wave.do │ │ ├── ies │ │ │ ├── README.txt │ │ │ ├── c_counter_binary_0.sh │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ └── run.f │ │ ├── modelsim │ │ │ ├── README.txt │ │ │ ├── c_counter_binary_0.sh │ │ │ ├── c_counter_binary_0.udo │ │ │ ├── compile.do │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── simulate.do │ │ │ └── wave.do │ │ ├── questa │ │ │ ├── README.txt │ │ │ ├── c_counter_binary_0.sh │ │ │ ├── c_counter_binary_0.udo │ │ │ ├── compile.do │ │ │ ├── elaborate.do │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── simulate.do │ │ │ └── wave.do │ │ ├── riviera │ │ │ ├── README.txt │ │ │ ├── c_counter_binary_0.sh │ │ │ ├── c_counter_binary_0.udo │ │ │ ├── compile.do │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── simulate.do │ │ │ └── wave.do │ │ ├── vcs │ │ │ ├── README.txt │ │ │ ├── c_counter_binary_0.sh │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ └── simulate.do │ │ ├── xcelium │ │ │ ├── README.txt │ │ │ ├── c_counter_binary_0.sh │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ └── run.f │ │ └── xsim │ │ │ ├── README.txt │ │ │ ├── c_counter_binary_0.sh │ │ │ ├── cmd.tcl │ │ │ ├── elab.opt │ │ │ ├── file_info.txt │ │ │ ├── glbl.v │ │ │ ├── vlog.prj │ │ │ └── xsim.ini │ │ └── clk_wiz_0 │ │ ├── README.txt │ │ ├── activehdl │ │ ├── README.txt │ │ ├── clk_wiz_0.sh │ │ ├── clk_wiz_0.udo │ │ ├── compile.do │ │ ├── file_info.txt │ │ ├── glbl.v │ │ ├── simulate.do │ │ └── wave.do │ │ ├── ies │ │ ├── README.txt │ │ ├── clk_wiz_0.sh │ │ ├── file_info.txt │ │ ├── glbl.v │ │ └── run.f │ │ ├── modelsim │ │ ├── README.txt │ │ ├── clk_wiz_0.sh │ │ ├── clk_wiz_0.udo │ │ ├── compile.do │ │ ├── file_info.txt │ │ ├── glbl.v │ │ ├── simulate.do │ │ └── wave.do │ │ ├── questa │ │ ├── README.txt │ │ ├── clk_wiz_0.sh │ │ ├── clk_wiz_0.udo │ │ ├── compile.do │ │ ├── elaborate.do │ │ ├── file_info.txt │ │ ├── glbl.v │ │ ├── simulate.do │ │ └── wave.do │ │ ├── riviera │ │ ├── README.txt │ │ ├── clk_wiz_0.sh │ │ ├── clk_wiz_0.udo │ │ ├── compile.do │ │ ├── file_info.txt │ │ ├── glbl.v │ │ ├── simulate.do │ │ └── wave.do │ │ ├── vcs │ │ ├── README.txt │ │ ├── clk_wiz_0.sh │ │ ├── file_info.txt │ │ ├── glbl.v │ │ └── simulate.do │ │ ├── xcelium │ │ ├── README.txt │ │ ├── clk_wiz_0.sh │ │ ├── file_info.txt │ │ ├── glbl.v │ │ └── run.f │ │ └── xsim │ │ ├── README.txt │ │ ├── clk_wiz_0.sh │ │ ├── cmd.tcl │ │ ├── elab.opt │ │ ├── file_info.txt │ │ ├── glbl.v │ │ ├── vlog.prj │ │ └── xsim.ini │ ├── GreedySnakeGame.runs │ ├── .jobs │ │ ├── vrs_config_1.xml │ │ ├── vrs_config_10.xml │ │ ├── vrs_config_100.xml │ │ ├── vrs_config_101.xml │ │ ├── vrs_config_102.xml │ │ ├── vrs_config_103.xml │ │ ├── vrs_config_104.xml │ │ ├── vrs_config_105.xml │ │ ├── vrs_config_106.xml │ │ ├── vrs_config_107.xml │ │ ├── vrs_config_108.xml │ │ ├── vrs_config_109.xml │ │ ├── vrs_config_11.xml │ │ ├── vrs_config_110.xml │ │ ├── vrs_config_111.xml │ │ ├── vrs_config_112.xml │ │ ├── vrs_config_113.xml │ │ ├── vrs_config_114.xml │ │ ├── vrs_config_115.xml │ │ ├── vrs_config_116.xml │ │ ├── vrs_config_117.xml │ │ ├── vrs_config_118.xml │ │ ├── vrs_config_119.xml │ │ ├── vrs_config_12.xml │ │ ├── vrs_config_120.xml │ │ ├── vrs_config_121.xml │ │ ├── vrs_config_122.xml │ │ ├── vrs_config_123.xml │ │ ├── vrs_config_124.xml │ │ ├── vrs_config_125.xml │ │ ├── vrs_config_126.xml │ │ ├── vrs_config_127.xml │ │ ├── vrs_config_128.xml │ │ ├── vrs_config_129.xml │ │ ├── vrs_config_13.xml │ │ ├── vrs_config_130.xml │ │ ├── vrs_config_131.xml │ │ ├── vrs_config_132.xml │ │ ├── vrs_config_133.xml │ │ ├── vrs_config_134.xml │ │ ├── vrs_config_135.xml │ │ ├── vrs_config_136.xml │ │ ├── vrs_config_137.xml │ │ ├── vrs_config_138.xml │ │ ├── vrs_config_139.xml │ │ ├── vrs_config_14.xml │ │ ├── vrs_config_140.xml │ │ ├── vrs_config_141.xml │ │ ├── vrs_config_142.xml │ │ ├── vrs_config_143.xml │ │ ├── vrs_config_144.xml │ │ ├── vrs_config_145.xml │ │ ├── vrs_config_146.xml │ │ ├── vrs_config_147.xml │ │ ├── vrs_config_148.xml │ │ ├── vrs_config_149.xml │ │ ├── vrs_config_15.xml │ │ ├── vrs_config_150.xml │ │ ├── vrs_config_151.xml │ │ ├── vrs_config_152.xml │ │ ├── vrs_config_153.xml │ │ ├── vrs_config_154.xml │ │ ├── vrs_config_155.xml │ │ ├── vrs_config_156.xml │ │ ├── vrs_config_157.xml │ │ ├── vrs_config_158.xml │ │ ├── vrs_config_159.xml │ │ ├── vrs_config_16.xml │ │ ├── vrs_config_160.xml │ │ ├── vrs_config_161.xml │ │ ├── vrs_config_162.xml │ │ ├── vrs_config_163.xml │ │ ├── vrs_config_164.xml │ │ ├── vrs_config_165.xml │ │ ├── vrs_config_17.xml │ │ ├── vrs_config_18.xml │ │ ├── vrs_config_19.xml │ │ ├── vrs_config_2.xml │ │ ├── vrs_config_20.xml │ │ ├── vrs_config_21.xml │ │ ├── vrs_config_22.xml │ │ ├── vrs_config_23.xml │ │ ├── vrs_config_24.xml │ │ ├── vrs_config_25.xml │ │ ├── vrs_config_26.xml │ │ ├── vrs_config_27.xml │ │ ├── vrs_config_28.xml │ │ ├── vrs_config_29.xml │ │ ├── vrs_config_3.xml │ │ ├── vrs_config_30.xml │ │ ├── vrs_config_31.xml │ │ ├── vrs_config_32.xml │ │ ├── vrs_config_33.xml │ │ ├── vrs_config_34.xml │ │ ├── vrs_config_35.xml │ │ ├── vrs_config_36.xml │ │ ├── vrs_config_37.xml │ │ ├── vrs_config_38.xml │ │ ├── vrs_config_39.xml │ │ ├── vrs_config_4.xml │ │ ├── vrs_config_40.xml │ │ ├── vrs_config_41.xml │ │ ├── vrs_config_42.xml │ │ ├── vrs_config_43.xml │ │ ├── vrs_config_44.xml │ │ ├── vrs_config_45.xml │ │ ├── vrs_config_46.xml │ │ ├── vrs_config_47.xml │ │ ├── vrs_config_48.xml │ │ ├── vrs_config_49.xml │ │ ├── vrs_config_5.xml │ │ ├── vrs_config_50.xml │ │ ├── vrs_config_51.xml │ │ ├── vrs_config_52.xml │ │ ├── vrs_config_53.xml │ │ ├── vrs_config_54.xml │ │ ├── vrs_config_55.xml │ │ ├── vrs_config_56.xml │ │ ├── vrs_config_57.xml │ │ ├── vrs_config_58.xml │ │ ├── vrs_config_59.xml │ │ ├── vrs_config_6.xml │ │ ├── vrs_config_60.xml │ │ ├── vrs_config_61.xml │ │ ├── vrs_config_62.xml │ │ ├── vrs_config_63.xml │ │ ├── vrs_config_64.xml │ │ ├── vrs_config_65.xml │ │ ├── vrs_config_66.xml │ │ ├── vrs_config_67.xml │ │ ├── vrs_config_68.xml │ │ ├── vrs_config_69.xml │ │ ├── vrs_config_7.xml │ │ ├── vrs_config_70.xml │ │ ├── vrs_config_71.xml │ │ ├── vrs_config_72.xml │ │ ├── vrs_config_73.xml │ │ ├── vrs_config_74.xml │ │ ├── vrs_config_75.xml │ │ ├── vrs_config_76.xml │ │ ├── vrs_config_77.xml │ │ ├── vrs_config_78.xml │ │ ├── vrs_config_79.xml │ │ ├── vrs_config_8.xml │ │ ├── vrs_config_80.xml │ │ ├── vrs_config_81.xml │ │ ├── vrs_config_82.xml │ │ ├── vrs_config_83.xml │ │ ├── vrs_config_84.xml │ │ ├── vrs_config_85.xml │ │ ├── vrs_config_86.xml │ │ ├── vrs_config_87.xml │ │ ├── vrs_config_88.xml │ │ ├── vrs_config_89.xml │ │ ├── vrs_config_9.xml │ │ ├── vrs_config_90.xml │ │ ├── vrs_config_91.xml │ │ ├── vrs_config_92.xml │ │ ├── vrs_config_93.xml │ │ ├── vrs_config_94.xml │ │ ├── vrs_config_95.xml │ │ ├── vrs_config_96.xml │ │ ├── vrs_config_97.xml │ │ ├── vrs_config_98.xml │ │ └── vrs_config_99.xml │ ├── blk_mem_gen_0_synth_1 │ │ ├── .Vivado_Synthesis.queue.rst │ │ ├── .vivado.begin.rst │ │ ├── .vivado.end.rst │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── __synthesis_is_complete__ │ │ ├── blk_mem_gen_0.dcp │ │ ├── blk_mem_gen_0.tcl │ │ ├── blk_mem_gen_0.vds │ │ ├── blk_mem_gen_0_utilization_synth.pb │ │ ├── blk_mem_gen_0_utilization_synth.rpt │ │ ├── dont_touch.xdc │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── project.wdf │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── vivado.jou │ │ └── vivado.pb │ ├── c_counter_binary_0_synth_1 │ │ ├── .Vivado_Synthesis.queue.rst │ │ ├── .vivado.begin.rst │ │ ├── .vivado.end.rst │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── __synthesis_is_complete__ │ │ ├── c_counter_binary_0.dcp │ │ ├── c_counter_binary_0.tcl │ │ ├── c_counter_binary_0.vds │ │ ├── c_counter_binary_0_utilization_synth.pb │ │ ├── c_counter_binary_0_utilization_synth.rpt │ │ ├── dont_touch.xdc │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── project.wdf │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── vivado.jou │ │ └── vivado.pb │ ├── clk_wiz_0_synth_1 │ │ ├── .Vivado_Synthesis.queue.rst │ │ ├── .Xil │ │ │ └── clk_wiz_0_propImpl.xdc │ │ ├── .vivado.begin.rst │ │ ├── .vivado.end.rst │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── __synthesis_is_complete__ │ │ ├── clk_wiz_0.dcp │ │ ├── clk_wiz_0.tcl │ │ ├── clk_wiz_0.vds │ │ ├── clk_wiz_0_utilization_synth.pb │ │ ├── clk_wiz_0_utilization_synth.rpt │ │ ├── dont_touch.xdc │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── project.wdf │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── vivado.jou │ │ └── vivado.pb │ ├── impl_1 │ │ ├── .Vivado_Implementation.queue.rst │ │ ├── .init_design.begin.rst │ │ ├── .init_design.end.rst │ │ ├── .opt_design.begin.rst │ │ ├── .opt_design.end.rst │ │ ├── .place_design.begin.rst │ │ ├── .place_design.end.rst │ │ ├── .route_design.begin.rst │ │ ├── .route_design.end.rst │ │ ├── .vivado.begin.rst │ │ ├── .vivado.end.rst │ │ ├── .write_bitstream.begin.rst │ │ ├── .write_bitstream.end.rst │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── init_design.pb │ │ ├── opt_design.pb │ │ ├── place_design.pb │ │ ├── project.wdf │ │ ├── route_design.pb │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── top.bin │ │ ├── top.bit │ │ ├── top.tcl │ │ ├── top.vdi │ │ ├── top_bus_skew_routed.pb │ │ ├── top_bus_skew_routed.rpt │ │ ├── top_bus_skew_routed.rpx │ │ ├── top_clock_utilization_routed.rpt │ │ ├── top_control_sets_placed.rpt │ │ ├── top_drc_opted.pb │ │ ├── top_drc_opted.rpt │ │ ├── top_drc_opted.rpx │ │ ├── top_drc_routed.pb │ │ ├── top_drc_routed.rpt │ │ ├── top_drc_routed.rpx │ │ ├── top_io_placed.rpt │ │ ├── top_methodology_drc_routed.pb │ │ ├── top_methodology_drc_routed.rpt │ │ ├── top_methodology_drc_routed.rpx │ │ ├── top_opt.dcp │ │ ├── top_placed.dcp │ │ ├── top_power_routed.rpt │ │ ├── top_power_routed.rpx │ │ ├── top_power_summary_routed.pb │ │ ├── top_route_status.pb │ │ ├── top_route_status.rpt │ │ ├── top_routed.dcp │ │ ├── top_timing_summary_routed.pb │ │ ├── top_timing_summary_routed.rpt │ │ ├── top_timing_summary_routed.rpx │ │ ├── top_utilization_placed.pb │ │ ├── top_utilization_placed.rpt │ │ ├── usage_statistics_webtalk.html │ │ ├── usage_statistics_webtalk.xml │ │ ├── vivado.jou │ │ ├── vivado.pb │ │ └── write_bitstream.pb │ └── synth_1 │ │ ├── .Vivado_Synthesis.queue.rst │ │ ├── .Xil │ │ └── top_propImpl.xdc │ │ ├── .vivado.begin.rst │ │ ├── .vivado.end.rst │ │ ├── ISEWrap.js │ │ ├── ISEWrap.sh │ │ ├── __synthesis_is_complete__ │ │ ├── dont_touch.xdc │ │ ├── gen_run.xml │ │ ├── htr.txt │ │ ├── rundef.js │ │ ├── runme.bat │ │ ├── runme.log │ │ ├── runme.sh │ │ ├── top.dcp │ │ ├── top.tcl │ │ ├── top.vds │ │ ├── top_utilization_synth.pb │ │ ├── top_utilization_synth.rpt │ │ ├── vivado.jou │ │ └── vivado.pb │ ├── GreedySnakeGame.srcs │ ├── constrs_1 │ │ └── imports │ │ │ └── Digital_Circuit │ │ │ └── Nexys4DDR_Master.xdc │ └── sources_1 │ │ ├── ip │ │ ├── blk_mem_gen_0 │ │ │ ├── blk_mem_gen_0.dcp │ │ │ ├── blk_mem_gen_0.mif │ │ │ ├── blk_mem_gen_0.veo │ │ │ ├── blk_mem_gen_0.vho │ │ │ ├── blk_mem_gen_0.xci │ │ │ ├── blk_mem_gen_0.xml │ │ │ ├── blk_mem_gen_0_ooc.xdc │ │ │ ├── blk_mem_gen_0_sim_netlist.v │ │ │ ├── blk_mem_gen_0_sim_netlist.vhdl │ │ │ ├── blk_mem_gen_0_stub.v │ │ │ ├── blk_mem_gen_0_stub.vhdl │ │ │ ├── doc │ │ │ │ └── blk_mem_gen_v8_4_changelog.txt │ │ │ ├── hdl │ │ │ │ └── blk_mem_gen_v8_4_vhsyn_rfs.vhd │ │ │ ├── misc │ │ │ │ └── blk_mem_gen_v8_4.vhd │ │ │ ├── sim │ │ │ │ └── blk_mem_gen_0.v │ │ │ ├── simulation │ │ │ │ └── blk_mem_gen_v8_4.v │ │ │ ├── summary.log │ │ │ └── synth │ │ │ │ └── blk_mem_gen_0.vhd │ │ ├── c_counter_binary_0 │ │ │ ├── c_counter_binary_0.dcp │ │ │ ├── c_counter_binary_0.veo │ │ │ ├── c_counter_binary_0.vho │ │ │ ├── c_counter_binary_0.xci │ │ │ ├── c_counter_binary_0.xml │ │ │ ├── c_counter_binary_0_ooc.xdc │ │ │ ├── c_counter_binary_0_sim_netlist.v │ │ │ ├── c_counter_binary_0_sim_netlist.vhdl │ │ │ ├── c_counter_binary_0_stub.v │ │ │ ├── c_counter_binary_0_stub.vhdl │ │ │ ├── doc │ │ │ │ └── c_counter_binary_v12_0_changelog.txt │ │ │ ├── hdl │ │ │ │ ├── c_addsub_v12_0_vh_rfs.vhd │ │ │ │ ├── c_counter_binary_v12_0_vh_rfs.vhd │ │ │ │ ├── c_gate_bit_v12_0_vh_rfs.vhd │ │ │ │ ├── c_reg_fd_v12_0_vh_rfs.vhd │ │ │ │ ├── xbip_addsub_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_counter_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_dsp48_addsub_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_dsp48_wrapper_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_pipe_v3_0_vh_rfs.vhd │ │ │ │ └── xbip_utils_v3_0_vh_rfs.vhd │ │ │ ├── sim │ │ │ │ └── c_counter_binary_0.vhd │ │ │ └── synth │ │ │ │ └── c_counter_binary_0.vhd │ │ ├── c_counter_binary_1 │ │ │ ├── c_counter_binary_1.dcp │ │ │ ├── c_counter_binary_1.xci │ │ │ ├── c_counter_binary_1.xml │ │ │ ├── c_counter_binary_1_ooc.xdc │ │ │ ├── c_counter_binary_1_sim_netlist.v │ │ │ ├── c_counter_binary_1_sim_netlist.vhdl │ │ │ ├── c_counter_binary_1_stub.v │ │ │ ├── c_counter_binary_1_stub.vhdl │ │ │ ├── hdl │ │ │ │ ├── c_addsub_v12_0_vh_rfs.vhd │ │ │ │ ├── c_counter_binary_v12_0_vh_rfs.vhd │ │ │ │ ├── c_gate_bit_v12_0_vh_rfs.vhd │ │ │ │ ├── c_reg_fd_v12_0_vh_rfs.vhd │ │ │ │ ├── xbip_addsub_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_counter_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_dsp48_addsub_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_dsp48_wrapper_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_pipe_v3_0_vh_rfs.vhd │ │ │ │ └── xbip_utils_v3_0_vh_rfs.vhd │ │ │ └── synth │ │ │ │ └── c_counter_binary_1.vhd │ │ ├── c_counter_binary_2 │ │ │ ├── c_counter_binary_2.dcp │ │ │ ├── c_counter_binary_2.xci │ │ │ ├── c_counter_binary_2.xml │ │ │ ├── c_counter_binary_2_ooc.xdc │ │ │ ├── c_counter_binary_2_sim_netlist.v │ │ │ ├── c_counter_binary_2_sim_netlist.vhdl │ │ │ ├── c_counter_binary_2_stub.v │ │ │ ├── c_counter_binary_2_stub.vhdl │ │ │ ├── hdl │ │ │ │ ├── c_addsub_v12_0_vh_rfs.vhd │ │ │ │ ├── c_counter_binary_v12_0_vh_rfs.vhd │ │ │ │ ├── c_gate_bit_v12_0_vh_rfs.vhd │ │ │ │ ├── c_reg_fd_v12_0_vh_rfs.vhd │ │ │ │ ├── xbip_addsub_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_counter_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_dsp48_addsub_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_dsp48_wrapper_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_pipe_v3_0_vh_rfs.vhd │ │ │ │ └── xbip_utils_v3_0_vh_rfs.vhd │ │ │ └── synth │ │ │ │ └── c_counter_binary_2.vhd │ │ ├── c_counter_binary_3 │ │ │ ├── c_counter_binary_3.dcp │ │ │ ├── c_counter_binary_3.xci │ │ │ ├── c_counter_binary_3.xml │ │ │ ├── c_counter_binary_3_ooc.xdc │ │ │ ├── c_counter_binary_3_sim_netlist.v │ │ │ ├── c_counter_binary_3_sim_netlist.vhdl │ │ │ ├── c_counter_binary_3_stub.v │ │ │ ├── c_counter_binary_3_stub.vhdl │ │ │ ├── hdl │ │ │ │ ├── c_addsub_v12_0_vh_rfs.vhd │ │ │ │ ├── c_counter_binary_v12_0_vh_rfs.vhd │ │ │ │ ├── c_gate_bit_v12_0_vh_rfs.vhd │ │ │ │ ├── c_reg_fd_v12_0_vh_rfs.vhd │ │ │ │ ├── xbip_addsub_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_counter_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_dsp48_addsub_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_dsp48_wrapper_v3_0_vh_rfs.vhd │ │ │ │ ├── xbip_pipe_v3_0_vh_rfs.vhd │ │ │ │ └── xbip_utils_v3_0_vh_rfs.vhd │ │ │ └── synth │ │ │ │ └── c_counter_binary_3.vhd │ │ ├── clk_wiz_0 │ │ │ ├── clk_wiz_0.dcp │ │ │ ├── clk_wiz_0.v │ │ │ ├── clk_wiz_0.veo │ │ │ ├── clk_wiz_0.xci │ │ │ ├── clk_wiz_0.xdc │ │ │ ├── clk_wiz_0.xml │ │ │ ├── clk_wiz_0_board.xdc │ │ │ ├── clk_wiz_0_clk_wiz.v │ │ │ ├── clk_wiz_0_ooc.xdc │ │ │ ├── clk_wiz_0_sim_netlist.v │ │ │ ├── clk_wiz_0_sim_netlist.vhdl │ │ │ ├── clk_wiz_0_stub.v │ │ │ ├── clk_wiz_0_stub.vhdl │ │ │ ├── doc │ │ │ │ └── clk_wiz_v6_0_changelog.txt │ │ │ ├── mmcm_pll_drp_func_7s_mmcm.vh │ │ │ ├── mmcm_pll_drp_func_7s_pll.vh │ │ │ ├── mmcm_pll_drp_func_us_mmcm.vh │ │ │ ├── mmcm_pll_drp_func_us_pll.vh │ │ │ ├── mmcm_pll_drp_func_us_plus_mmcm.vh │ │ │ └── mmcm_pll_drp_func_us_plus_pll.vh │ │ └── clk_wiz_1 │ │ │ ├── clk_wiz_1.dcp │ │ │ ├── clk_wiz_1.v │ │ │ ├── clk_wiz_1.veo │ │ │ ├── clk_wiz_1.xci │ │ │ ├── clk_wiz_1.xdc │ │ │ ├── clk_wiz_1.xml │ │ │ ├── clk_wiz_1_board.xdc │ │ │ ├── clk_wiz_1_clk_wiz.v │ │ │ ├── clk_wiz_1_ooc.xdc │ │ │ ├── clk_wiz_1_sim_netlist.v │ │ │ ├── clk_wiz_1_sim_netlist.vhdl │ │ │ ├── clk_wiz_1_stub.v │ │ │ ├── clk_wiz_1_stub.vhdl │ │ │ ├── doc │ │ │ └── clk_wiz_v6_0_changelog.txt │ │ │ ├── mmcm_pll_drp_func_7s_mmcm.vh │ │ │ ├── mmcm_pll_drp_func_7s_pll.vh │ │ │ ├── mmcm_pll_drp_func_us_mmcm.vh │ │ │ ├── mmcm_pll_drp_func_us_pll.vh │ │ │ ├── mmcm_pll_drp_func_us_plus_mmcm.vh │ │ │ └── mmcm_pll_drp_func_us_plus_pll.vh │ │ └── new │ │ ├── apple.v │ │ ├── audio.v │ │ ├── bcdto8segment_dataflow.v │ │ ├── display.v │ │ ├── fsm.v │ │ ├── score.v │ │ ├── seg.v │ │ ├── snake.v │ │ ├── top.v │ │ ├── turn.v │ │ ├── vga_sync_generator.v │ │ └── vga_test.v │ ├── GreedySnakeGame.xpr │ ├── vivado.jou │ ├── vivado.log │ ├── vivado_18188.backup.jou │ ├── vivado_18188.backup.log │ ├── vivado_19204.backup.jou │ ├── vivado_19204.backup.log │ ├── vivado_5952.backup.jou │ └── vivado_5952.backup.log ├── LaunchImage ├── BMP2Mif.exe ├── launch.psd ├── launch_1320x770.psd ├── launch_1320x770_8_paint.bmp └── launch_1320x770_8_paint.coe ├── README.assets ├── 1544187163645.png ├── 1544274441309.png ├── 1545232738639.png ├── 1545232753368.png └── 1545232792712.png └── README.md /.gitattributes: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/.gitattributes -------------------------------------------------------------------------------- /Code/verilog/apple.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/apple.v -------------------------------------------------------------------------------- /Code/verilog/audio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/audio.v -------------------------------------------------------------------------------- /Code/verilog/bcdto8segment_dataflow.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/bcdto8segment_dataflow.v -------------------------------------------------------------------------------- /Code/verilog/display.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/display.v -------------------------------------------------------------------------------- /Code/verilog/fsm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/fsm.v -------------------------------------------------------------------------------- /Code/verilog/score.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/score.v -------------------------------------------------------------------------------- /Code/verilog/seg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/seg.v -------------------------------------------------------------------------------- /Code/verilog/snake.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/snake.v -------------------------------------------------------------------------------- /Code/verilog/top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/top.v -------------------------------------------------------------------------------- /Code/verilog/turn.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/turn.v -------------------------------------------------------------------------------- /Code/verilog/vga_sync_generator.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/vga_sync_generator.v -------------------------------------------------------------------------------- /Code/verilog/vga_test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/verilog/vga_test.v -------------------------------------------------------------------------------- /Code/xdc/Nexys4DDR_Master.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Code/xdc/Nexys4DDR_Master.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/079559df6d631145.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/079559df6d631145.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/079559df6d631145/079559df6d631145.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/079559df6d631145/079559df6d631145.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/079559df6d631145/blk_mem_gen_1.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/079559df6d631145/blk_mem_gen_1.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/079559df6d631145/blk_mem_gen_1_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/079559df6d631145/blk_mem_gen_1_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/079559df6d631145/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:0 2 | Timestamp: Sat Dec 08 10:49:59 UTC 2018 3 | VLNV: xilinx.com:ip:blk_mem_gen:8.4 4 | SynthRuntime: 122 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/0fd011146db1c848.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/0fd011146db1c848.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/0fd011146db1c848/0fd011146db1c848.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/0fd011146db1c848/0fd011146db1c848.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/0fd011146db1c848/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/0fd011146db1c848/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/0fd011146db1c848/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/0fd011146db1c848/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/0fd011146db1c848/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:1 2 | Timestamp: Fri Dec 07 06:52:50 UTC 2018 3 | VLNV: xilinx.com:ip:blk_mem_gen:8.4 4 | SynthRuntime: 126 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc/1a89529ff2bad8fc.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc/1a89529ff2bad8fc.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc/clk_wiz_1.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc/clk_wiz_1.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc/clk_wiz_1_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc/clk_wiz_1_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc/clk_wiz_1_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc/clk_wiz_1_stub.vhdl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/1a89529ff2bad8fc/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:0 2 | Timestamp: Tue Dec 04 16:53:51 UTC 2018 3 | VLNV: xilinx.com:ip:clk_wiz:6.0 4 | SynthRuntime: 31 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/21841dab26ae3bc4.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/21841dab26ae3bc4.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/21841dab26ae3bc4/21841dab26ae3bc4.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/21841dab26ae3bc4/21841dab26ae3bc4.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/21841dab26ae3bc4/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/21841dab26ae3bc4/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/21841dab26ae3bc4/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/21841dab26ae3bc4/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/21841dab26ae3bc4/stats.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/21841dab26ae3bc4/stats.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2c6adde6762c3a7f.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2c6adde6762c3a7f.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2c6adde6762c3a7f/2c6adde6762c3a7f.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2c6adde6762c3a7f/2c6adde6762c3a7f.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2c6adde6762c3a7f/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2c6adde6762c3a7f/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2c6adde6762c3a7f/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2c6adde6762c3a7f/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2c6adde6762c3a7f/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:1 2 | Timestamp: Fri Dec 07 08:04:42 UTC 2018 3 | VLNV: xilinx.com:ip:blk_mem_gen:8.4 4 | SynthRuntime: 108 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2d6b0f4d115549d4.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2d6b0f4d115549d4.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2d6b0f4d115549d4/2d6b0f4d115549d4.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2d6b0f4d115549d4/2d6b0f4d115549d4.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2d6b0f4d115549d4/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2d6b0f4d115549d4/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2d6b0f4d115549d4/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2d6b0f4d115549d4/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2d6b0f4d115549d4/stats.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/2d6b0f4d115549d4/stats.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1/339c2a894aa1f1f1.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1/339c2a894aa1f1f1.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1/clk_wiz_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1/clk_wiz_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1/clk_wiz_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1/clk_wiz_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1/clk_wiz_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1/clk_wiz_0_stub.vhdl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/339c2a894aa1f1f1/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:0 2 | Timestamp: Tue Dec 04 13:01:11 UTC 2018 3 | VLNV: xilinx.com:ip:clk_wiz:6.0 4 | SynthRuntime: 31 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/58b01ab133969b0a.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/58b01ab133969b0a.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/58b01ab133969b0a/58b01ab133969b0a.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/58b01ab133969b0a/58b01ab133969b0a.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/58b01ab133969b0a/blk_mem_gen_1.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/58b01ab133969b0a/blk_mem_gen_1.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/58b01ab133969b0a/blk_mem_gen_1_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/58b01ab133969b0a/blk_mem_gen_1_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/58b01ab133969b0a/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:0 2 | Timestamp: Sat Dec 08 03:25:30 UTC 2018 3 | VLNV: xilinx.com:ip:blk_mem_gen:8.4 4 | SynthRuntime: 122 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/5966ebfc0f7f84ab.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/5966ebfc0f7f84ab.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/5966ebfc0f7f84ab/5966ebfc0f7f84ab.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/5966ebfc0f7f84ab/5966ebfc0f7f84ab.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/5966ebfc0f7f84ab/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/5966ebfc0f7f84ab/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/5966ebfc0f7f84ab/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/5966ebfc0f7f84ab/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/5966ebfc0f7f84ab/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:0 2 | Timestamp: Fri Dec 07 04:19:34 UTC 2018 3 | VLNV: xilinx.com:ip:blk_mem_gen:8.4 4 | SynthRuntime: 104 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/8f2dcc5218d685bd.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/8f2dcc5218d685bd.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/8f2dcc5218d685bd/8f2dcc5218d685bd.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/8f2dcc5218d685bd/8f2dcc5218d685bd.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/8f2dcc5218d685bd/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/8f2dcc5218d685bd/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/8f2dcc5218d685bd/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/8f2dcc5218d685bd/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/8f2dcc5218d685bd/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:0 2 | Timestamp: Fri Dec 07 08:21:02 UTC 2018 3 | VLNV: xilinx.com:ip:blk_mem_gen:8.4 4 | SynthRuntime: 111 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/929b98ef5573e185.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/929b98ef5573e185.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/929b98ef5573e185/929b98ef5573e185.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/929b98ef5573e185/929b98ef5573e185.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/929b98ef5573e185/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/929b98ef5573e185/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/929b98ef5573e185/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/929b98ef5573e185/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/929b98ef5573e185/stats.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/929b98ef5573e185/stats.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/a9b489f7ec8e6468.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/a9b489f7ec8e6468.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/a9b489f7ec8e6468/a9b489f7ec8e6468.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/a9b489f7ec8e6468/a9b489f7ec8e6468.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/a9b489f7ec8e6468/blk_mem_gen_1.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/a9b489f7ec8e6468/blk_mem_gen_1.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/a9b489f7ec8e6468/blk_mem_gen_1_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/a9b489f7ec8e6468/blk_mem_gen_1_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/a9b489f7ec8e6468/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:0 2 | Timestamp: Sat Dec 08 03:06:52 UTC 2018 3 | VLNV: xilinx.com:ip:blk_mem_gen:8.4 4 | SynthRuntime: 115 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/c20d635284b688d1.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/c20d635284b688d1.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/c20d635284b688d1/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/c20d635284b688d1/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/c20d635284b688d1/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/c20d635284b688d1/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/c20d635284b688d1/c20d635284b688d1.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/c20d635284b688d1/c20d635284b688d1.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/c20d635284b688d1/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:1 2 | Timestamp: Fri Dec 07 06:31:17 UTC 2018 3 | VLNV: xilinx.com:ip:blk_mem_gen:8.4 4 | SynthRuntime: 119 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/d633ec47bb7af13a.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/d633ec47bb7af13a.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/d633ec47bb7af13a/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/d633ec47bb7af13a/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/d633ec47bb7af13a/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/d633ec47bb7af13a/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/d633ec47bb7af13a/d633ec47bb7af13a.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/d633ec47bb7af13a/d633ec47bb7af13a.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/d633ec47bb7af13a/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:0 2 | Timestamp: Sat Dec 08 12:09:52 UTC 2018 3 | VLNV: xilinx.com:ip:blk_mem_gen:8.4 4 | SynthRuntime: 129 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e5975662189c3830.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e5975662189c3830.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e5975662189c3830/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e5975662189c3830/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e5975662189c3830/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e5975662189c3830/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e5975662189c3830/e5975662189c3830.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e5975662189c3830/e5975662189c3830.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e5975662189c3830/stats.txt: -------------------------------------------------------------------------------- 1 | NumberHits:0 2 | Timestamp: Sat Dec 08 03:19:06 UTC 2018 3 | VLNV: xilinx.com:ip:blk_mem_gen:8.4 4 | SynthRuntime: 127 5 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e95d24f40f6c0c1b.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e95d24f40f6c0c1b.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e95d24f40f6c0c1b/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e95d24f40f6c0c1b/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e95d24f40f6c0c1b/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e95d24f40f6c0c1b/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e95d24f40f6c0c1b/e95d24f40f6c0c1b.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e95d24f40f6c0c1b/e95d24f40f6c0c1b.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e95d24f40f6c0c1b/stats.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/e95d24f40f6c0c1b/stats.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/f0b047e9b89929dd.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/f0b047e9b89929dd.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/f0b047e9b89929dd/blk_mem_gen_1.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/f0b047e9b89929dd/blk_mem_gen_1.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/f0b047e9b89929dd/blk_mem_gen_1_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/f0b047e9b89929dd/blk_mem_gen_1_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/f0b047e9b89929dd/f0b047e9b89929dd.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/f0b047e9b89929dd/f0b047e9b89929dd.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/f0b047e9b89929dd/stats.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/f0b047e9b89929dd/stats.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/fd474288983cfe2f.logs/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/fd474288983cfe2f.logs/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/fd474288983cfe2f/c_counter_binary_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/fd474288983cfe2f/c_counter_binary_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/fd474288983cfe2f/fd474288983cfe2f.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/fd474288983cfe2f/fd474288983cfe2f.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/fd474288983cfe2f/stats.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/ip/2018.2.2/fd474288983cfe2f/stats.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/gui_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/gui_handlers.wdf -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/java_command_handlers.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/java_command_handlers.wdf -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/project.wpc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/project.wpc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/synthesis.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/synthesis.wdf -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/synthesis_details.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/synthesis_details.wdf -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/webtalk_pa.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.cache/wt/webtalk_pa.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/GreedySnakeGame.lpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/GreedySnakeGame.lpr -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/hw_1/hw.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/hw_1/hw.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/webtalk/.xsim_webtallk.info: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/webtalk/.xsim_webtallk.info -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/webtalk/labtool_webtalk.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/webtalk/labtool_webtalk.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/webtalk/usage_statistics_ext_labtool.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/webtalk/usage_statistics_ext_labtool.html -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/webtalk/usage_statistics_ext_labtool.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.hw/webtalk/usage_statistics_ext_labtool.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0.veo -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0.vho: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0.vho -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/clk_wiz_0/clk_wiz_0.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/clk_wiz_0/clk_wiz_0.veo -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/ip/clk_wiz_0/clk_wiz_0_stub.vhdl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/1080_from_960_down.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/1080_from_960_down.coe -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/1080_from_960_up.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/1080_from_960_up.coe -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/CrazyBird.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/CrazyBird.coe -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/CrazyBird_960.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/CrazyBird_960.coe -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/CrazyBird_Move.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/CrazyBird_Move.coe -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/blk_mem_gen_0.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/blk_mem_gen_0.mif -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/blk_mem_gen_1.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/blk_mem_gen_1.mif -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/launch.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/launch.coe -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/launch_1320x770_8_paint.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/launch_1320x770_8_paint.coe -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/summary.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/mem_init_files/summary.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/activehdl/blk_mem_gen_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/activehdl/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/activehdl/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/ies/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/ies/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/ies/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/ies/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/ies/run.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/ies/run.f -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/ies/summary.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/ies/summary.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/modelsim/blk_mem_gen_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/modelsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/modelsim/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/questa/blk_mem_gen_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/questa/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/questa/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/questa/wave.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/questa/wave.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/riviera/blk_mem_gen_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/riviera/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/riviera/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/riviera/wave.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/riviera/wave.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/vcs/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/vcs/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/vcs/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/vcs/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/vcs/simulate.do: -------------------------------------------------------------------------------- 1 | run 2 | quit 3 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/vcs/summary.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/vcs/summary.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xcelium/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xcelium/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xcelium/run.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xcelium/run.f -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/cmd.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/cmd.tcl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/elab.opt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/elab.opt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/vlog.prj -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/blk_mem_gen_0/xsim/xsim.ini -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/activehdl/c_counter_binary_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/ies/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/ies/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/ies/run.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/ies/run.f -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/modelsim/c_counter_binary_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/questa/c_counter_binary_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/riviera/c_counter_binary_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/vcs/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/vcs/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/c_counter_binary_0/vcs/simulate.do: -------------------------------------------------------------------------------- 1 | run 2 | quit 3 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/activehdl/clk_wiz_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/activehdl/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/activehdl/wave.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/activehdl/wave.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/ies/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/ies/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/ies/clk_wiz_0.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/ies/clk_wiz_0.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/ies/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/ies/file_info.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/ies/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/ies/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/ies/run.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/ies/run.f -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/modelsim/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/modelsim/clk_wiz_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/modelsim/compile.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/modelsim/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/modelsim/wave.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/clk_wiz_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/compile.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/elaborate.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/simulate.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/questa/wave.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/clk_wiz_0.udo: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/compile.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/simulate.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/wave.do: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/riviera/wave.do -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/vcs/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/vcs/clk_wiz_0.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/vcs/file_info.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/vcs/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/vcs/simulate.do: -------------------------------------------------------------------------------- 1 | run 2 | quit 3 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xcelium/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xcelium/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xcelium/run.f: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xcelium/run.f -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/README.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/clk_wiz_0.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/cmd.tcl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/elab.opt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/elab.opt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/file_info.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/glbl.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/vlog.prj -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/xsim.ini: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.ip_user_files/sim_scripts/clk_wiz_0/xsim/xsim.ini -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_1.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_10.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_10.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_100.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_100.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_101.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_101.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_102.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_102.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_103.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_103.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_104.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_104.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_105.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_105.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_106.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_106.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_107.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_107.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_108.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_108.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_109.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_109.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_11.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_11.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_110.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_110.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_111.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_111.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_112.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_112.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_113.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_113.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_114.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_114.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_115.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_115.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_116.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_116.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_117.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_117.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_118.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_118.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_119.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_119.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_12.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_12.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_120.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_120.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_121.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_121.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_122.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_122.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_123.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_123.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_124.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_124.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_125.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_125.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_126.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_126.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_127.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_127.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_128.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_128.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_129.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_129.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_13.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_13.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_130.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_130.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_131.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_131.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_132.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_132.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_133.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_133.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_134.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_134.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_135.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_135.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_136.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_136.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_137.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_137.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_138.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_138.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_139.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_139.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_14.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_14.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_140.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_140.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_141.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_141.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_142.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_142.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_143.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_143.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_144.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_144.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_145.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_145.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_146.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_146.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_147.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_147.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_148.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_148.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_149.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_149.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_15.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_15.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_150.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_150.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_151.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_151.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_152.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_152.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_153.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_153.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_154.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_154.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_155.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_155.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_156.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_156.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_157.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_157.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_158.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_158.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_159.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_159.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_16.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_16.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_160.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_160.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_161.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_161.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_162.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_162.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_163.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_163.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_164.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_164.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_165.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_165.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_17.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_17.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_18.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_18.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_19.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_19.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_2.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_2.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_20.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_20.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_21.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_21.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_22.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_22.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_23.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_23.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_24.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_24.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_25.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_25.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_26.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_26.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_27.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_27.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_28.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_28.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_29.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_29.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_3.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_3.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_30.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_30.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_31.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_31.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_32.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_32.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_33.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_33.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_34.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_34.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_35.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_35.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_36.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_36.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_37.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_37.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_38.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_38.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_39.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_39.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_4.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_4.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_40.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_40.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_41.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_41.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_42.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_42.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_43.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_43.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_44.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_44.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_45.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_45.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_46.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_46.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_47.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_47.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_48.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_48.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_49.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_49.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_5.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_5.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_50.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_50.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_51.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_51.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_52.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_52.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_53.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_53.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_54.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_54.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_55.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_55.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_56.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_56.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_57.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_57.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_58.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_58.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_59.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_59.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_6.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_6.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_60.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_60.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_61.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_61.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_62.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_62.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_63.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_63.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_64.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_64.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_65.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_65.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_66.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_66.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_67.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_67.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_68.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_68.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_69.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_69.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_7.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_7.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_70.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_70.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_71.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_71.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_72.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_72.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_73.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_73.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_74.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_74.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_75.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_75.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_76.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_76.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_77.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_77.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_78.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_78.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_79.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_79.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_8.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_8.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_80.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_80.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_81.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_81.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_82.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_82.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_83.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_83.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_84.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_84.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_85.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_85.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_86.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_86.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_87.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_87.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_88.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_88.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_89.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_89.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_9.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_9.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_90.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_90.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_91.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_91.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_92.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_92.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_93.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_93.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_94.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_94.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_95.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_95.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_96.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_96.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_97.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_97.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_98.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_98.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_99.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/.jobs/vrs_config_99.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/__synthesis_is_complete__: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.tcl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/blk_mem_gen_0.vds -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/gen_run.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/htr.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/project.wdf -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/rundef.js -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/runme.bat -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/runme.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/vivado.jou -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/blk_mem_gen_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/__synthesis_is_complete__: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/c_counter_binary_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/c_counter_binary_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/c_counter_binary_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/c_counter_binary_0.tcl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/c_counter_binary_0.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/c_counter_binary_0.vds -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/gen_run.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/htr.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/project.wdf -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/rundef.js -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/runme.bat -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/runme.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/vivado.jou -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/c_counter_binary_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/.Xil/clk_wiz_0_propImpl.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/ISEWrap.js -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/__synthesis_is_complete__: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/clk_wiz_0.tcl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/clk_wiz_0.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/clk_wiz_0.vds -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/clk_wiz_0_utilization_synth.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/gen_run.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/htr.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/project.wdf -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/rundef.js -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/runme.bat -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/runme.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/vivado.jou -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/clk_wiz_0_synth_1/vivado.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.Vivado_Implementation.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.init_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.init_design.begin.rst -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.init_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.opt_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.opt_design.begin.rst -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.opt_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.place_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.place_design.begin.rst -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.place_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.route_design.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.route_design.begin.rst -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.route_design.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.vivado.begin.rst -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.write_bitstream.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.write_bitstream.begin.rst -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/.write_bitstream.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/ISEWrap.js -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/ISEWrap.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/gen_run.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/htr.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/init_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/init_design.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/opt_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/opt_design.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/place_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/place_design.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/project.wdf: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/project.wdf -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/route_design.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/route_design.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/rundef.js -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/runme.bat -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/runme.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top.bin: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top.bin -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top.bit: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top.bit -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top.tcl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top.vdi: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top.vdi -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_bus_skew_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_bus_skew_routed.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_bus_skew_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_bus_skew_routed.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_bus_skew_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_bus_skew_routed.rpx -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_clock_utilization_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_clock_utilization_routed.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_control_sets_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_control_sets_placed.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_opted.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_opted.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_opted.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_opted.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_opted.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_opted.rpx -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_routed.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_routed.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_drc_routed.rpx -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_io_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_io_placed.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_methodology_drc_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_methodology_drc_routed.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_methodology_drc_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_methodology_drc_routed.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_methodology_drc_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_methodology_drc_routed.rpx -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_opt.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_opt.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_placed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_placed.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_power_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_power_routed.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_power_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_power_routed.rpx -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_power_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_power_summary_routed.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_route_status.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_route_status.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_route_status.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_route_status.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_routed.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_routed.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_timing_summary_routed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_timing_summary_routed.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_timing_summary_routed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_timing_summary_routed.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_timing_summary_routed.rpx: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_timing_summary_routed.rpx -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_utilization_placed.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_utilization_placed.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_utilization_placed.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/top_utilization_placed.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/usage_statistics_webtalk.html: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/usage_statistics_webtalk.html -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/usage_statistics_webtalk.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/usage_statistics_webtalk.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/vivado.jou -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/vivado.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/write_bitstream.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/impl_1/write_bitstream.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/.Vivado_Synthesis.queue.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/.Xil/top_propImpl.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/.Xil/top_propImpl.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/.vivado.begin.rst: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/.vivado.begin.rst -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/.vivado.end.rst: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/ISEWrap.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/ISEWrap.js -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/ISEWrap.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/ISEWrap.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/__synthesis_is_complete__: -------------------------------------------------------------------------------- 1 | -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/dont_touch.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/dont_touch.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/gen_run.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/gen_run.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/htr.txt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/htr.txt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/rundef.js: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/rundef.js -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/runme.bat: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/runme.bat -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/runme.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/runme.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/runme.sh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/runme.sh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/top.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/top.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/top.tcl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/top.tcl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/top.vds: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/top.vds -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/top_utilization_synth.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/top_utilization_synth.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/top_utilization_synth.rpt: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/top_utilization_synth.rpt -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/vivado.jou -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/vivado.pb: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.runs/synth_1/vivado.pb -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.mif: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.mif -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.veo -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.vho: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.vho -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_ooc.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/sim/blk_mem_gen_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/sim/blk_mem_gen_0.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/summary.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/summary.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/synth/blk_mem_gen_0.vhd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/blk_mem_gen_0/synth/blk_mem_gen_0.vhd -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.veo -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_board.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_ooc.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_stub.vhdl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_7s_pll.vh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_0/mmcm_pll_drp_func_us_pll.vh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.dcp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.dcp -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.veo: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.veo -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xci: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xci -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xml: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1.xml -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_board.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_board.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_clk_wiz.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_clk_wiz.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_ooc.xdc: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_ooc.xdc -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_sim_netlist.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_sim_netlist.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_sim_netlist.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_sim_netlist.vhdl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_stub.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_stub.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_stub.vhdl: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/clk_wiz_1_stub.vhdl -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_7s_pll.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_7s_pll.vh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_pll.vh: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/ip/clk_wiz_1/mmcm_pll_drp_func_us_pll.vh -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/apple.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/apple.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/audio.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/audio.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/bcdto8segment_dataflow.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/bcdto8segment_dataflow.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/display.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/display.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/fsm.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/fsm.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/score.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/score.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/seg.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/seg.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/snake.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/snake.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/top.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/top.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/turn.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/turn.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/vga_sync_generator.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/vga_sync_generator.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/vga_test.v: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.srcs/sources_1/new/vga_test.v -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.xpr: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/GreedySnakeGame.xpr -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/vivado.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/vivado.jou -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/vivado.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/vivado.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/vivado_18188.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/vivado_18188.backup.jou -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/vivado_18188.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/vivado_18188.backup.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/vivado_19204.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/vivado_19204.backup.jou -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/vivado_19204.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/vivado_19204.backup.log -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/vivado_5952.backup.jou: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/vivado_5952.backup.jou -------------------------------------------------------------------------------- /Full_Project_on_Vivado2018/GreedySnakeGame/vivado_5952.backup.log: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/Full_Project_on_Vivado2018/GreedySnakeGame/vivado_5952.backup.log -------------------------------------------------------------------------------- /LaunchImage/BMP2Mif.exe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/LaunchImage/BMP2Mif.exe -------------------------------------------------------------------------------- /LaunchImage/launch.psd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/LaunchImage/launch.psd -------------------------------------------------------------------------------- /LaunchImage/launch_1320x770.psd: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/LaunchImage/launch_1320x770.psd -------------------------------------------------------------------------------- /LaunchImage/launch_1320x770_8_paint.bmp: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/LaunchImage/launch_1320x770_8_paint.bmp -------------------------------------------------------------------------------- /LaunchImage/launch_1320x770_8_paint.coe: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/LaunchImage/launch_1320x770_8_paint.coe -------------------------------------------------------------------------------- /README.assets/1544187163645.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/README.assets/1544187163645.png -------------------------------------------------------------------------------- /README.assets/1544274441309.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/README.assets/1544274441309.png -------------------------------------------------------------------------------- /README.assets/1545232738639.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/README.assets/1545232738639.png -------------------------------------------------------------------------------- /README.assets/1545232753368.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/README.assets/1545232753368.png -------------------------------------------------------------------------------- /README.assets/1545232792712.png: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/README.assets/1545232792712.png -------------------------------------------------------------------------------- /README.md: -------------------------------------------------------------------------------- https://raw.githubusercontent.com/yusanshi/Greedy-Snake-Verilog/HEAD/README.md --------------------------------------------------------------------------------